Descargar como pdf o txt
Descargar como pdf o txt
Está en la página 1de 28

Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Temas y Subtemas:

1. Códigos y sistemas numéricos.


1.1 Conceptos introductorios.
1.2 Representaciones numéricas.
1.3 Comparación de sistemas digitales y sistemas analógicos.
1.4 Sistemas numéricos.
1.4.1 Binario, octal y hexadecimal.
1.4.2 Conversiones binarias, decimales, octales y hexadecimales.
1.4.3 Números negativos y complemento a 2.
1.4.4 Suma, resta, multiplicación y división.
1.5 Códigos (BCD, ASCII, Gray).
1.6 Método de paridad.

Referencias bibliográficas.
Actividades.

1.1. Conceptos introductorios


Introducción:
Las computadoras han hecho posibles muchos avances científicos, industriales y
comerciales que de otra manera nunca se hubieran alcanzado. Las mismas se utilizan
en cálculos científicos, en el procesamiento de información comercial y de negocios,
control de tránsito aéreo, vía espacial, campo educativo, tecnologías de la información
y muchas otras áreas.
Pueden seguir una secuencia de instrucciones, denominada programa, que opera
según la información dada. El usuario puede especificar y cambiar los programas y/o la
información de acuerdo con la necesidad específica. Debido a esta flexibilidad, las
computadoras digitales pueden realizar una amplia variedad de tareas de
procesamiento de información.

El procesamiento de la información internamente en la computadora digital se lleva


mediante valores binarios (1 y 0), los cuáles facilitan la operación de los mismos dentro
del sistema. De ahí que la computadora digital sea considerada como un sistema digital.
Del mismo modo todo la electrónica implementada para procesar información debe
operar de forma lógica, por eso es que durante el curso analizaremos los dispositivos
electrónicos que realizan dichas operaciones.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

1.2. Representaciones numéricas


En los campos de la ciencia, la tecnología, los negocios y en la mayoría de los campos de
trabajo, comúnmente tratamos con “cantidades”, las cuales se miden, monitorean, se
manipulan, se observan, o de alguna manera se utilizan en los sistemas físicos.
Al tratar con varias cantidades es importante que las mismas sean representadas con
eficiencia y precisión.

Las dos formas de representar el valor numérico de estas cantidades son:

Fig. 1.2.1 Representación Analógica. Fig. 1.2.2 Representación Digital

Ejemplos de representaciones analógicas:


➢ Velocímetros de automóviles clásicos.
➢ Termómetros de mercurio clásicos.
➢ Salida de un micrófono. Fig. 1.2.3 Velocímetro analógico de automóvil

Sus características son que pueden variar a través de cualquier intervalo continuo de
valores.

Ejemplos de representaciones digitales:


➢ Reloj digital.
➢ Termómetro digital.
➢ Contador de personas.
Fig. 1.2.4 Termómetro por infrarrojo digital

Se representa mediante símbolos llamados dígitos.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

1.3 Comparación de sistemas digitales y sistemas analógicos

Un sistema digital es la combinación de dispositivos diseñados para manipular información


lógica o cantidades físicas que se representan en formato digital; es decir, las cantidades
sólo pueden tener valores discretos. Estos dispositivos, por lo general, son eléctrónicos,
pero tambipen pueder ser mecánicos, magnéticos o neumáticos. Algunos de los sistemas
digitales más comúnes con las computadoras y las calculadoras digitales, los equipos
de audio y video digital y el mas
grande del mundo que es el sistema
de internet.

Un sistema analógico contiene


dispositivos que manipulan
cantidades físicas que se representan
en forma analógica. En un sistema
analógico, las cantidades pueden
variar sobre un intervalo continuo de
valores. Por ejemplo, la amplitud de la
señal de salida a la bocina en un
receptor de radio puede tener
cualquier valor entre cero y su límite
máximo. Otros sistemas analógicos
comunes son los amplificadores de
audio, los equipos de grabación y
reproducción de cintas magnéticas,
y un simple interruptor regulador de
luz.
Fig. 1.3.1 Señal analógica y señal digital

VENTAJAS DE LAS TÉCNICAS DIGITALES:


o Generalmente son más fáciles de diseñar.
o Es fácil almacenar información.
o Es más fácil mantener la precisión y la exactitud en todo el sistema.
o La operación puede programarse.
o Los circuitos digitales son más resistentes al ruido.
o Pueden fabricarse más circuitos digitales en los chips de CI.

LIMITACIONES DE LA TÉCNICAS DIGITALES:


o El mundo real es analógico.
o El procesamiento de las señales digitales lleva tiempo.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Pasos para procesar una señal analógica-digital-analógica


1. Convertir la variable física en una señal eléctrica (analógica)
2. Convertir la señal eléctrica (analógica) a su forma digital.
3. Procesar (operar con) la información digital.
4. Convertir las salidas digitales nuevamente a la forma analógica del mundo real.

Fig. 1.3.2 Diagrama a bloques de un sistema digital de precisión para control de temperatura.

1.4 Sistemas numéricos.

En la tecnología digital se utilizan muchos sistemas numéricos. Los más comunes son los
siguientes:
➢ Binario (2)
➢ Octal (8)
➢ Decimal (10)
➢ Hexadecimal (16)
Evidentemente el sistema decimal es el más conocido, ya que es el que utilizamos a diario.
Analizaremos las características para comprender los demás sistemas numéricos.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

1.4.1 Binario, octal y hexadecimal.


Recordemos algunos detalles del sistema decimal antes de explicar y analizar los demás
sistemas numéricos.
Sistema decimal:
Está compuesto de 10 números o símbolos: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9.
El sistema decimal se conoce también como sistema de base 10 ya que tiene 10 dígitos, y
ha evolucionado en forma natural debido al hecho de que las personas tenemos 10 dedos.
La palabra dígito se deriva de la palabra “dedo”.
El decimal es un sistema de valor posicional, en el cual el valor de un dígito depende de su
posición. Por ejemplo para el valor 453, el 4 representa las centenas (4 X 100), el 5
representa las decenas (5 X 10) y finalmente el 3 representa las unidades (3 x 1). El 4 lleva
el mayor peso de los tres dígitos y se le conoce como dígito más significativo (MSD) y el 3
lleva el menor peso y se le conoce como el dígito menos significativo (LSD).

En la figura 1.4.1.1 se muestra lo de los valores posicionales.

Fig. 1.4.1.1 Valores posicionales del sistema decimal.

La cual representa el siguiente valor desarrollado:


(2 x 10+3) + (7 x 10+2)+ (4 x 10+1)+ (5 x 10+0)+ (2 x 10-1)+ (1 x 10-2)+ (4 x 10-3)

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Finalmente el conteo en decimal se realiza bajo el siguiente esquema.

Fig. 1.4.1.2 Conteo decimal

Después de este repaso del sistema decimal, aplicamos los mismos conceptos para los demás
sistemas numéricos.
1.4.2 Conversiones binarias, decimales, octales y hexadecimales.

Sistema Binario: (Sistema base 2)


Compuesto de 2 números: 0 y 1.
Por ejemplo el numero 1011.101:
Valor posicional

23 22 21 20 2-1 2-2 2-3

1 0 1 1 . 1 0 1

MSB LSB

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Conversión Binario a Decimal: La conversión de binario a decimal la realizamos de la


siguiente manera:
1011.1012 = (1X23)+ (0X22)+(1X21)+(1X20)+(1X2-1) +(0X2-2) +(1X2-3)
= 8+0+2+1+0.5+0+0.125
= 11.62510
Para el caso del conteo binario lo realizamos de la siguiente manera, considerando 4 bits:

BINARIO
DECIMAL 23 = 8 22 = 4 21 = 2 20 = 1
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
10 1 0 1 0
11 1 0 1 1
12 1 1 0 0
13 1 1 0 1
14 1 1 1 0
15 1 1 1 1

Sistema octal (Base 8):


Se ocupa con frecuencia en las computadoras digitales, contiene 8 dígitos = 0, 1, 2, 3, 4,
5, 6 y 7. Su valor posicional se muestra a continuación:
Valor posicional

… 84 83 82 81 80

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

4096 512 64 8 1

Ahora veamos un ejemplo de conversión del sistema octal al decimal considerando los
valores posicionales anteriores

Convertir 108 = ____10


(1x81) + (0x80) = 810

Convertir 248 a decimal:


(2x81) + (4x80) = 16+4 = 2010

Convertir 1378 a decimal:


(1x82) + (3x81) + (7x80) = 64+24+7 = 9510

El conteo octal lo realizamos de la siguiente manera:

0 10 20 30 40

1 11 21 31 .
2 12 22 32 .
3 13 23 33 .
4 14 24 34 .
5 15 25 35 .
6 16 26 36 .
7 17 27 37 .

Sistema hexadecimal
Los números hexadecimales se utilizan a menudo en un sistema digital como una manera
abreviada de representar cadenas de bits. Al trabajar con las computadoras, es muy común

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

usar cadenas de hasta 64 bits. Al representar un número extenso de bits es más


conveniente y menos errático escribir los números binarios en hexadecimal.
Por ejemplo el número binario “1101010101011100” es equivalente a “D55C” en
hexadecimal. Resulta más sencillo escribir o memorizar en hexadecimal que en binario una
cifra de esta magnitud.

Sistema hexadecimal compuesto por 10 dígitos y 6 letras = 0, 1, 2, 3, 4 ,5 ,6, 7, 8, 9, A, B,C,


D, E y F.

Valor posicional
… 164
16 3 2
16 1
16 16 0

65536 4096 256 16 1

Convertir 21h = ____d


(2x161) + (1x160) = 33d
Convertir 4A1h a decimal:
(4x161) + (10x160) = 64+10= 74d
Convertir ABCh a decimal:
(10x162) + (11x161) + (12x160) = 2560+176+12 = 2748d
El conteo hexadecimal lo llevamos a cabo de la siguiente manera:

0 10 20 30 40

1 11 21 . .
2 12 22 . .
3 13 23 . .
4 14 24
5 15 25
6 16 26
7 17 27
8 18 28
9 19 29

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

A 1A 2A
B 1B 2B
C 1C 2C
D 1D 2D
E 1E 2E
F 1F 2F

Ya que analizamos las conversiones de las diferentes bases al sistema decimal, veremos
las demás conversiones de acuerdo a la siguiente tabla:

Bases Binaria Octal Decimal Hexa

Binaria ….. ✓

Octal …… ✓

Decimal ……

Hexadecimal ✓ ……

Veamos ahora las conversiones de Binario a Octal y viceversa, las cuáles son las
conversiones que resultan con mucha facilidad.
Conversión de binario a octal:
El procedimiento es muy sencillo, sólo dividimos en número binario en grupos de 3 bits a
partir del LSB y asignamos su equivalente número en decimal a cada grupo.
Ejemplo:
100110101012 = 23258
Conversión de octal a binario:
Realizamos la operación inversa a la anterior. A partir del número expresado en octal, a
cada dígito lo representamos con 3 bits.
Ejemplo:
74218 = 1111000100012

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Bases B O D H

B … ✓ ✓

O ✓ … ✓

D ..

H ✓ ..

Para el caso de las conversiones de binario a hexadecimal y viceversa la regla de


conversión es casi idéntica a la anterior:

Conversión de binario a hexadecimal:


De igual manera que para el octal se utiliza la misma lógica, sólo dividimos el número binario
en grupos de 4 bits a partir del LSB y asignamos su equivalente número hexadecimal a
cada grupo.
Ejemplo:
100110101012 = 4D516
Conversión de hexadecimal a binario:
La operación es inversa ahora. A partir del número expresado en Hexadecimal, a cada
dígito lo representamos con 4 bits.
Ejemplo:
7EA116 = 01111110101000012

Bases B O D H

B … ✓ ✓ ✓

O ✓ … ✓

D ..

H ✓ ✓ ..

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Toca el turno de convertir de decimal a las otras bases que estamos manejando:
Conversión de decimal a Binario:
Para este caso aplicamos divisiones sucesivas entre la base a la que deseamos convertir,
en es este ocasión es 2.
Ejemplo: convertir 123910 a binario

1239 Residuo El procedimiento es muy simple, primero


dividimos el 1239 entre 2 y el cociente lo
619 1 colocamos debajo del dividendo y el residuo
lo colocamos a la derecha del cociente. A
309 1 continuación aplicamos la misma operación
154 1 para el 619 y de esta manera hasta llegar al
1, el cual ya no es divisible entre 2, ya que
77 0 nos daría fracción. Por lo tanto este último
valor lo bajamos del lado del residuo.
38 1

19 0
El resultado es el siguiente, considerando
9 1 que el último número de la columna del
residuo es el MSB.
4 1

2 0

1 0 123910 = 100110101112
1

Conversión de decimal a Octal:


Ahora las divisiones sucesivas será entre la base 8.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Ejemplo: convertir 123910 a Octal

El primer paso es dividir 1239 entre 8, el


cociente se coloca debajo del dividendo y el
1239 Residuo
residuo se coloca a su derecha. Se repite el
154 7 procedimiento hasta que el número sea
menor a 8, en este caso queda el 2, el cual
19 2 se baja al final de la columna de residuo, tal
como en la conversión binaria.
2 3
2 123910 = 23278

Conversión de decimal a Hexadecimal:


Aplicaremos divisiones entre 16, que es la base a la cual deseamos convertir.
Ejemplo: convertir 123910 a hexadecimal.

1239 Residuo
El procedimiento a utilizar es el mismo que
77 7 en los dos casos anteriores. Dando como
resultado lo siguiente:
4 D

4 123910 = 4D716

Notamos que cuando dividimos el 77 entre 16, el residuo es 13, pero debemos representar
el 13 en hexadecimal (D) de acuerdo al conteo que analizamos en sección anterior referente
al sistema hexadecimal.
Hasta este punto llevamos las siguientes conversiones entre las bases:

Bases B O D H

B … ✓ ✓ ✓

O ✓ … ✓

D ✓ ✓ .. ✓

H ✓ ✓ ..

Para finalizar las conversiones realizaremos las conversiones de octal a hexa y viceversa.

Conversión de octal a Hexadecimal:

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Para esta conversión hacemos un paso intermedio antes de realizar la conversión completa
y se trata de convertir nuestro número octal en binario y posteriormente realizar grupos de
4 bits para representarlos en hexadecimal.
Ejemplo: Convertir el 74568 a hexadecimal.
Expresamos el valor en binario: 111 100 101 1102
Ahora realizamos grupos de 4 bits de LSB a MSB: 1111001011102
Finalmente obtenemos el equivalente hexadecimal: F2E16
74568 = F2E16

Conversión de Hexadecimal a Octal:


Realizamos el mismo procedimiento que para la conversión de octal a hexa.
Representamos el valor hexadecimal en binario, realizamos grupos de 3 bits y obtenemos
el valor en octal.
Ejemplo: Convertir el F2A16 a Octal.
Expresamos el valor en binario: 1111 0010 10102
Ahora realizamos grupos de 3 bits de LSB a MSB: 1111001010102
Finalmente obtenemos el equivalente octal: 74528
F2A16 = 74528

Con esto finalizamos todas las conversiones entres las 4 bases estudiadas.

Bases B O D H

B … ✓ ✓ ✓

O ✓ … ✓ ✓

D ✓ ✓ .. ✓

H ✓ ✓ ✓ ..

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

1.4.3 Números negativos y complemento a 2.

En las computadoras digitales, los números binarios se representan mediante un conjunto


de dispositivos de almacenamiento binario. Cada dispositivo representa un bit. Por ejemplo
se pueden almacenar números binarios de 6 bits que varían entre 000000 a 111111 ( 0 a
63 en decimal). Esto representa la magnitud del número.
Debido a que la mayoría de las computadoras y calculadoras digitales maneja números
tantos negativos como positivos, se requiere de algún medio para representar el signo del
número (+ o -).
Por lo general lo que se hace es agregar otro bit al número; a este bit se le llama bit de
signo. La convención común es que un 0 en el bit de signo representa a un número positivo
y un 1 representa a un número negativo. En la figura 1.4.3.1 se representa un valor:

Fig. 1.4.3.1 Representación de número binario con bit de signo

Los bits de magnitud son el equivalente binario real del valor decimal que se está
representando. A éste se le conoce como sistema de signo-magnitud para representar
números binarios con signo. Aunque el sistema de signo-magnitud es simple, las
calculadoras y computadoras no lo utilizan de manera usual porque la implementación del
circuito es más compleja que en otros sistemas. El sistema más común que se utiliza para
representar números binarios con signo es el sistema de complemento a 2. Veremos
primeramente de que se trata el complemento a 1 y posteriormente el complemento a 2.
Forma de complemento a 1:
El complemento a 1 de un número binario se obtiene al cambiar cada 0 por un 1 y cada 1
por un 0. Esto quiere decir que se cambia cada uno de los bits en el número por su
complemento. Veamos el siguiente ejemplo:

Número binario original

Se complementa cada bit para formar


el complemento a 1

Esto significa que el complemento a 1 de 101101 es 010010

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Forma de complemento a 2:
El complemento a 2 de un número binario se forma al tomar el complemento a 1 de ése
número y sumarle 1 a la posición LSB. Ejemplo:
101101 equivalente binario de 45
010010 complemento a 1 del número anterior
+ 1 se le suma 1 para formar el complemento a 2
010011 complemento a 2 del número binario original

Por lo tanto el complemento a 2 de 101101 es 010011

¿Cómo representamos un número con signo utilizando complemento a 2?


Veamos lo que sucede en la figura 1.4.3.2.

Fig. 1.4.3.2 Representación de número binario con bit de signo utilizando complemento a 2

El sistema de complemento a 2 se utiliza para representar números con signo ya que, como
veremos más adelante, nos permite realizar la operación de la resta, a partir de una
operación de suma.
Esto es importante ya que significa que una computadora digital puede utilizar los mismos
circuitos tanto para sumar como para restar, lo cual redunda en un ahorro en el hardware.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

1.4.4 Suma, resta, multiplicación y división.

Suma Binaria:
La suma de dos números binarios se realiza exactamente de la misma forma que la suma
de números decimales. Resultando ser más sencilla ya que sólo hay dos valores que
analizar. Recordemos un caso de suma decimal antes:

Acarreo 1 1 0

S1 5 4 6

S2 + 7 9 2

Suma 1 3 3 8

Ahora realicemos una suma binaria:

Acarreo 1 1 1 1

S1 1 1 0 1 (13)
S2 + 1 0 1 1 (11)
Suma 1 1 0 0 0 (24)

Suma Hexadecimal:

Ahora realizaremos una suma de valores hexadecimales, donde seguiremos la misma


lógica que para las bases ya analizadas, veamos el siguiente ejemplo:

Acarreo 1 1 Al sumar A + 8, es lo mismo que


sumar 10 + 8 en decimal, obteniendo
S1 2 5 A
18 en decimal que es equivalente a 12
S2 + 3 D 8 en hexa, por lo tanto dejamos 2 y
llevamos como acarreo el 1.
Suma 6 3 2 Repetimos la misma lógica para el
resto de las operaciones.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Analicemos ahora la resta para estas mismas bases.

Resta Binaria:

Realizaremos la resta binaria aplicando el complemento a 2. El procedimiento es el


descrito a continuación:
1. Negar el sustraendo. Esto cambiará el sustraendo por su valor equivalente del
signo opuesto (complemento a 2).
2. Sumar éste al minuendo. El resultado de esta suma representará la diferencia
entre el sustraendo y el minuendo.

Ejemplo: Realizar la resta 12 – 5.

Minuendo 1 1 0 0 +12
Sustraendo - 0 1 0 1 +5

Minuendo 1 1 0 0 +12
Sustraendo(CA2) + 1 0 1 1 -5

Resta 1 0 1 1 1 +7

Se descarta, ya que la resta no puede tener


más bits que el minuendo o sustraendo.

Veamos ahora la resta cambiando los términos:

Ejemplo: Realizar la resta 5 – 12.

Minuendo 0 1 0 1 +5

Sustraendo - 1 1 0 0 +12

Minuendo 0 1 0 1 +5
Sustraendo(CA2) + 0 1 0 0 -12
Resta 1 1 0 0 1 -7

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Resta Hexadecimal:

Se sigue la misma lógica que la resta binaria, sólo que aquí utilizamos el complemento a
16, resultando el procedimiento siguiente:
1. Negar el sustraendo. Esto cambiará el sustraendo por su valor equivalente del signo
opuesto (complemento a 16).
2. Sumar éste al minuendo. El resultado de esta suma representará la diferencia entre
el sustraendo y el minuendo.

Ejemplo: Realizar la resta 3A1 – 2F8.

Minuendo 3 A 1

Sustraendo - 2 F 8

Minuendo 3 A 1
Para obtener el complemento a
Sustraendo(CA16) + D 0 8 16 del sustraendo, completamos
Resta 1 0 A 9 el 8 a 16 y el resto de los dígitos
a 15.

Se descarta Resultado

Multiplicación Binaria:

Para el caso de la multiplicación binaria utilizamos la misma regla que para la multiplicación
decimal, sólo que resulta más simple debido a que sólo manejamos dos dígitos. Veamos el
siguiente ejemplo:

F1 1 1 0 1 0 Al multiplicar el 1 del factor 2 (LSB)


por el factor 1 obtenemos el F1, esto
F2 x 1 0 1 siempre sucede cuando
multiplicamos a cualquier número
1 1 0 1 0 por 1. Mientras que cualquier
número multiplicado por 0 nos dará
0 0 0 0 0 0.
1 1 0 1 0 Al final realizamos la suma de los
elementos para obtener el producto
P 1 0 0 0 0 0 1 0 (P) final.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Multiplicación Hexadecimal:

Nos basamos en la misma regla que las anteriores bases. Veamos el siguiente ejemplo:

acarreo 1 El acarreo cambia para cada elemento


del factor 2 utilizado para multiplicar al
F1 1 C 2 7 factor 1. El procedimiento es el mismo
procedimiento tradicional que para la
F2 x 2 F 3 base decimal o binaria vista en el tema
anterior.
5 4 7 5
Por ejemplo 3 x 7 son 21 (en decimal),
1 A 6 4 9 esto es 16 cabe una vez en 21 y sobra
5, por lo tanto el acarreo es 1 y se
3 8 4 E
queda el 5.
P 5 3 0 7 0 5

División binaria:

Finalmente veremos la división binaria, la cual de la misma manera utilizaremos la misma


lógica que el sistema decimal. Ejemplo:

1 0 1 1 1 0

1 0 0 1 0 1 1 1 0 1 1

0 0 1 1 1

0 1 1 0

0 1 0 1

0 0 1 1

En este caso el divisor es 4 (100) y tomamos los 3 bits más significativos del dividendo que
sería 5 (101), esto es que cabe una vez (cociente) y sobra 1 (residuo). El procedimiento se
figue repitiendo hasta que terminemos con todos los bits del dividendo.

El resto de las operaciones en otras bases no abordadas siguen la misma regla. Ya queda
a criterio del estudiante el realizarlas.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

1.5 Códigos (BCD, ASCII, Gray).

Cuando se representan números, letras o palabras mediante un grupo especial de


símbolos, decimos que está siendo codificado, y al grupo de símbolos se le llama código.
Quizás uno de los códigos más conocidos sea el Morse, en el cual una serie de puntos y
rayas representan las letras del alfabeto.

Vimos que cualquier número decimal puede representarse mediante un número binario
equivalente. El grupo de 1s y 0s en el número binario puede considerarse como un código
que representa el número decimal. Cuando un número decimal se representa por su
número binario equivalente, le llamamos código binario directo.

Hemos visto que las conversiones entre decimal y binario pueden volverse extensas y
complicadas cuando se manejan números grandes. Por esta razón, en ciertas situaciones
se utiliza un medio para codificar números decimales que combina algunas características
tanto del sistema decimal como del sistema binario.

CÓDIGO BCD (Decimal Codificado en Binario)

Si cada dígito de un número decimal se representa mediante su equivalente binario, el


resultado es un código que se conoce como decimal codificado en binario (BCD). Cómo un
dígito decimal puede llegar hasta el 9, se requieren cuatro bits para codificar cada dígito.

Veamos los siguientes ejemplos.

8 7 4 (Decimal)
1000 0111 0100 (BCD)

9 4 3 (Decimal)
1001 0100 0011 (BCD)

Ahora a partir de un dato en BCD, obtenemos su equivalente decimal. Convierta el número


0110100000111001 (BCD) en su equivalente decimal.

Realizamos grupos de 4 bits a partir del bit menos significativo y asignamos su equivalente
decimal.

0110 1000 0011 1001 BCD


6 8 3 9 Decimal

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

CÓDIGO ASCII (American Standard Code for Information Interchange)

Es un código alfanúmerico de 7 bits, para un total de 128 códigos posibles. Lo suficiente


para representar todos los caracteres estándar del teclado.

Por ejemplo la letra A mayúscula se representa de la siguiente manera:

Carácter Decimal Hexadecimal Binario


A 65 41 100 0001

En el siguiente link podemos ver la lista de caracteres y su correspondiente código.

http://arantxa.ii.uam.es/~mtp1/codigos_ASCII.pdf

Ver aquí también

CÓDIGO GRAY

El código binario reflejado o código Gray, nombrado así en honor del investigador Frank
Gray, es un sistema de numeración binario en el que dos valores sucesivos difieren
solamente en uno de sus dígitos.
Los sistemas digitales operan a velocidades muy elevadas y responden a los cambios que
se producen en las entradas digitales. De la misma forma en la vida real, cuando varias
condiciones de entrada están cambiando al mismo
tiempo la situación puede malinterpretarse, con lo cual B2 B1 B0 G2 G1 G0
se puede llegar a producir una reacción errónea.
0 0 0 0 0 0
Para reducir la probabilidad de que un circuito digital
malinterprete una entrada cambiante, se desarrolló el 0 0 1 0 0 1
código Gray como una manera de representar una 0 1 0 0 1 1
secuencia de números. El aspecto único del código
Gray es que, entre dos números sucesivos en la 0 1 1 0 1 0
secuencia sólo un bit cambia.
1 0 0 1 1 0
Para convertir de binario a Gray sólo hay que empezar
en el bit más significativo y usarlo como el MSB de 1 0 1 1 1 1
Gray. Después se compara el MSB binario con el
1 1 0 1 0 1
siguiente bit binario (B1). Si son iguales, entonces G1
= 0; si son distintos, entonces G1 = 1. Para encontrar 1 1 1 1 0 0
G0 se compara B1 con B0.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

La aplicación más común del código gray es en los codificadores de posición de eje. Estos
dispositivos producen un valor binario que representa la posición de un eje mecánico
giratorio. Un codificador de eje práctico utiliza mucho más de tres bits y divide la rotación
en mucho más de ocho segmentos, por lo que puede detectar incrementos de rotación
mucho más pequeños.

Fig. 1.5.1 Codificador de eje de ocho posiciones y tres bits

1.6 Método de paridad.

El movimiento de datos binarios y códigos de un lugar a otro es la operación más frecuente


que se realiza con los sistemas digitales. A continuación de listan solo unos cuantos
ejemplos:
• La transmisión de voz digitalizada a través de un enlace de microondas.
• El almacenamiento de datos y la recuperación de los mismos desde dispositivos de
memoria externos.
• La transmisión de datos digitales desde una computadora hacia otra de manera
remota.
Siempre que se transmite información desde un dispositivo hasta otro, existe la posibilidad
de que puedan producirse errores tales que causen que el receptor no reciba la información
idéntica tal y como la envió el transmisor. Esto debido a ruido eléctrico.

Fig. 1.6.1 Ruido que produce un error en la transmisión de datos digitales

En algunas ocasiones, el ruido es tan grande en amplitud que altera el nivel lógico de la
señal, como se ve en el punto x. Cuando esto ocurre, el recpetor puede interpretar en forma
incorrecta ese bit como un 1 lógico, que no corresponde con lo que el transmisor ha enviado.

Para solucionar este tipo de errores se utiliza el método de paridad como técnica de
detección de errores.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Bit de paridad: es un bit que se agrega al grupo de bits del código que se está transfiriendo
de un lugar a otro. El bit de paridad se hace 0 o 1, dependiendo del número de 1s que
contenga el grupo de bits del código. Como se muestra en la figura 1.6.2.

Fig. 1.6.2 Bit de paridad agregado a cadena de datos

Paridad Par: el valor del bit agregado se elige de manera que el número total de 1s en el
grupo de bits del código sea par. Indicado en la figura 1.6.2.

Si el grupo de bits del código contiene un número par de 1s, el bit de paridad recibe el valor
de 0. Se muestra en la figura 1.6.3

01001110
bit de paridad agregado
Fig. 1.6.3 Bit de paridad par agregado a cadena de datos

Paridad Impar: el valor del bit agregado se elige de manera que el número total de 1s en
el grupo de bits del código sea impar. Figura 1.6.4

11000010
bit de paridad agregado
Fig. 1.6.4 Bit de paridad impar agregado a cadena de datos

Si el grupo de bits del código contiene un número impar de 1s, el bit de paridad recibe el
valor de 0. Figura 1.6.5
01001010

01001010
bit de paridad agregado
Fig. 1.6.5 Bit de paridad impar agregado a cadena de datos

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

Tanto en la paridad par como la impar, el receptor detectará de acuerdo al método


utilizado si la cantidad de 1s corresponde e indicará si hay algún error o no. Mostrado en
figura 1.6.6.

Fig. 1.6.6 Diagrama a bloques representando un generador y detector de paridad.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

REFERENCIA BIBLIOGRÁFICAS:

Tocci, R., Widner, N., & Moss, G. (2010). Sistemas Digitales, principios y aplicaciones. México:
Pearson-Prentice Hall.

Morris, M. (2003). Diseño Digital. México: Pearson.

Channel, D. (6 de Mayo de 2011). https://www.youtube.com. Obtenido de


https://www.youtube.com/watch?v=G5a8oBMMR18

Ladelec.com. (2015). http://www.ladelec.com. Obtenido de


http://www.ladelec.com/teoria/electronica-digital/148-conversiones-de-sistemas-de-
numeracion

Madrid, U. A. (2008). http://arantxa.ii.uam.es. Obtenido de


http://arantxa.ii.uam.es/~mtp1/codigos_ASCII.pdf

Q-Bit. (15 de Enero de 2015). https://www.youtube.com/watch?v=9wEsRw-pNtE. Obtenido de


https://www.youtube.com/watch?v=9wEsRw-pNtE

ACTIVIDADES:

1. ¿Menciona al menos 3 ventajas de las técnicas digitales?


2. ¿Cuál es el número máximo que se puede representar con 6 bits? (Decimal y binario)
3. ¿Cuál es el número máximo que se puede representar con 10 bits? (Decimal y binario)
4. ¿Cuál es equivalente decimal de 11010112?
5. ¿Cuál es el binario que sigue al 101112 en la secuencia de conteo?
6. ¿Cuál es equivalente decimal de 110111.0112?
7. Indique el equivalente binario para 812.5710
8. Indique el equivalente binario para 179310
9. Escriba el equivalente decimal de 101011102
10. Escriba el equivalente decimal de 110011002
11. Escriba el equivalente binario de 24010
12. Escriba el equivalente binario de 19610
13. Escriba el equivalente binario de 102010
14. Escriba el equivalente binario de 204010

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

15. La solución de la ecuación cuadrática 𝑥 2 − 11𝑥 + 22 = 0 𝑒𝑠 𝑥 = 3 𝑦 𝑥 = 6. Explique la


solución.
16. ¿Cuál es el equivalente decimal del número octal 72368 ?
17. ¿Cuál es el equivalente decimal del número octal 5428 ?
18. Indique la secuencia de conteo octal del 728 𝑎𝑙 1008 ?
19. Liste los números hexadecimales en secuencia, desde 19816 ℎ𝑎𝑠𝑡𝑎 𝑒𝑙 27016 .
20. Convierta el número hexadecimal F78𝐶16 𝑎 𝑑𝑒𝑐𝑖𝑚𝑎𝑙.
21. Convierta el número hexadecimal 17𝐴𝐵16 𝑎 𝑑𝑒𝑐𝑖𝑚𝑎𝑙.
22. Convierta el número hexadecimal A7𝐶𝐸16 𝑎 𝑏𝑖𝑛𝑎𝑟𝑖𝑜 y, de binario conviértalo a octal.
23. ¿Cuántos dígitos hexadecimales se requieren para representar los números decimales
del 0 hasta 20,000?
24. ¿Cuál es el número hexadecimal mas grande que puede representarse con 4 bytes?
25. ¿ Cuál es número máximo hexadecimal que puede representarse con 3 nibbles*?
26. Convierta el número octal 77438 𝑎 𝑏𝑖𝑛𝑎𝑟𝑖𝑜 y de binario conviértalo a hexadecimal.
27. Complemente la siguiente tabla realizando las conversiones correspondientes.

Binario Octal Decimal Hexadecimal

7451

1025

DEF5

1101101010001

28. Realice las siguientes restas binarias.


a) 110100 - 10101
b) 1011 – 110001
29. El manual del propietario para una pequeña microcomputadora establece que la
computadora cuenta con ubicaciones de memoria disponibles en las siguientes
direcciones hexadecimales: 0150 a 2DEF y de la 2000 a 5F0F. ¿Cuál es el número
total de ubicaciones de memoria disponibles? Nota: todas las operaciones y resultados
en Hexadecimal. Aplique complementos para su solución.
30. Obtenga la suma de los siguientes valores en binario:
a) 11011011 + 1111100
b) 11110000 + 1111
31. Multiplique los siguientes valores en sus respectivas bases:
a) 1101.11 * 110
b) 2A3F * A.2
32. Realice la división binaria 11011010.11 / 100
33. El siguiente dato es una cadena de caracteres ASCII cuyos patrones de bits se han
convertido a hexadecimal pata que no ocupen tanto espacio: 4A EF 68 6E 20 C4 EF
E5. De los ocho bits de cada par de dígitos, el de la extrema izquierda es un bit de
paridad. Los bits restantes son el código ASCII.

MC. Mario Dguez Carballo


Tema 1: Códigos y Sistemas Numéricos Electrónica Digital

a) Conviértalos a bits y decodifique ASCII (Indique los caracteres).


b) Determine la paridad empleada: impar o par.
34. Escriba la expresión G. Boole en ASCII empleando código de 8 bits. Incluya el punto y
el espacio. Trate el bit de la extrema izquierda como bit de paridad par.
35. Convierta el número decimal 8729 a los códigos BCD y ASCII. En el caso de ASCII,
agregue un bit de paridad impar al extremo izquierdo.

MC. Mario Dguez Carballo

También podría gustarte