“I had the privilege of working with Saransh at Microsoft and would like to share my experiences. Saransh is an integral member of our team, leading the modeling of the CPU instruction unit and bringing a wealth of expertise to the table. Saransh is an expert in the CPU’s frontend exploration and communicates effectively with the architects to get their requirements and present and discuss evaluation studies. He is always available to help others, whether it is answering questions, providing guidance, or simply lending a listening ear. In addition to his technical abilities, Saransh demonstrates a strong commitment to the success of both the project and his teammates. He is well-organized, ensuring that deadlines are met, and goals are achieved. Saransh is a valuable asset to any team, and I highly recommend him to anyone looking for a knowledgeable, dependable, and supportive colleague. He is a true professional, and I am confident that he will continue to positively impact the projects and people he works with.”
Saransh Jain
Raleigh, North Carolina, United States
1K followers
500+ connections
Activity
-
I'm not normally one to post on social media, but after attending Arm #ARMGEC24, I wanted to share my experience. With over 1,000 people at the…
I'm not normally one to post on social media, but after attending Arm #ARMGEC24, I wanted to share my experience. With over 1,000 people at the…
Liked by Saransh Jain
-
We loved this brilliant piece by Rahul B., where he dropped some serious knowledge on the AMBA AXI Protocol! You can learn how to master out-of-order…
We loved this brilliant piece by Rahul B., where he dropped some serious knowledge on the AMBA AXI Protocol! You can learn how to master out-of-order…
Liked by Saransh Jain
-
We're thrilled to celebrate the 16 Arm-based supercomputers that have made it into the June 2024 #Top500 supercomputer rankings list! 🎉 As some of…
We're thrilled to celebrate the 16 Arm-based supercomputers that have made it into the June 2024 #Top500 supercomputer rankings list! 🎉 As some of…
Liked by Saransh Jain
Experience
Education
-
North Carolina State University
-
Completed Coursework:
Microprocessor Architecture
Architecture Of Parallel Computers
ASIC and FPGA Design with Verilog
Advanced Microarchitecture
Advanced Computer Architecture(GPGPU)
Asic Verification
Operating Systems
Neural Networks
Cryptographic Engineering And Hardware Security(Audit)
Current Coursework
Compiler Design and Optimization -
-
Relevant Coursework:
* Advanced Computer Organization
* Digital Design and Computer Organization
* Analog and Digital VLSI Design
* Microelectronic Circuits
* Microprocessors Design and Interfacing
* Computer Programming -
-
CBSE - Class XII
-
-
CBSE- Class X
Projects
-
Breaking AES through DPA
Performed Differential Power Analysis on power traces taken from AES-ECB execution using python
Extracted 128-bit secret AES key via pearson's correlation -
Adaptive Warp Scheduler for GPGPU
Implemented profiler for dynamic workload characterization of workload based on instruction-issue pattern for GPGPU workloads
Integrated logic for runtime selection of warp-scheduling policy based on profiler inputs -
OOO Execution Simulator
Designed a simulator modelling super-scalar out-of-order processor with user-defined instruction window.
Implemented register renaming and reservation stations to model Tomasulo algorithm.
Integrated previously implemented cache-hierarchy simulator to model memory access latencies -
Cache Coherence Protocol Simulator
Implemented bus-based and directory-based cache coherence protocols such as MESI, MOESI etc on a trace driven Distributed Share Machine(DSM) simulator
Evaluated and contrasted performance metrics across various coherence protocols -
Hybrid Branch Predictor Simulator
Developed simulator for 2-bit branch predictor with global branch history implementation.
Integrated previously implemented cache-hierarchy simulator to model branch target buffer structure -
Cache Simulator
Developed a highly configurable cache and memory hierarchy simulator. Cache-models developed in this project are capable of modelling different replacement policies,write policies, associativity , size etc.
Also modelled the typical memory hierarchy structure seen in modern day processors to help analyze the impact of cache design on system performance(impact of cache misses). -
The Da-Vinci Machine
Participated in Shastra 2010(Techfest hosted by IIT-Madras). Modeled a fully mechanical powered vehicle using clock spring. The machine was made capable of vertical climbing, traversing on a horizontal rope and shooting projectiles
-
Exploring Load Value Locality using Neural Network
-
Extracted last-n load values for multiple benchmarks compiled for RISC-V
Pre-Processed Data for creating balanced input to neural network
Implemented a feed-forward neural-network based selector for last-n value predictor
Demonstrated accuracy of over 81% for with last 4 values -
OS Design
-
Modified Xinu OS source code for multiple projects
Enabled virtualization of memory for x86 using paging support. Installed page-tables for existing code. Implemented on-demand paging for heap.
Implemented spin-lock, guard-lock and and priority-inheritance based lock. Also implemented deadlock detection mechanism.
Implemented Lottery and Multi-Level Feedback Queue scheduling policies. -
Hardware Design of Quantum Secure Cryptosystem
-
Designed decryption block for Ring-Binary-Learning-with-Errors encryption scheme for maximizing throughput
Implemented synthesizable hardware for the same using verilog. Synthesized design on a Spartan-6 FPGA using ISE WebPack.
Performed side-channel attack(using power analysis) to break the same block and extracted protected key -
Actively Updated Hybrid Value Predictor
-
Implemented a hybrid address/data stride predictor with a confidence estimator on an OOO RISC-V simulator.
Analyzed bottlenecks for various workloads to tune configurable predictor towards overall performance.
Demonstrated gains of upto 37% on certain workloads often outperforming similar sized cache structures. -
Convolutional Neural Network Hardware Design
-
Designed hardware for a Convolutional Neural Network with major constraints on I/O bandwidth.
Extensively used hierarchical module design in verilog to implemented a synthesizable version for the same design.
Implemented highly parameterized Address Generation unit to enable reuse across module saving considerable verification effort. -
Shell Eco Marathon
-
● As the Technical Team Leader, designed the electrical subsystem for a prototype fuel efficient gasoline powered car
● Achieved a mileage of 70 kmpl as the primary on-track driver for ‘Team BITS -
Microprocessor Design and Interfacing
-
Designed an x86 based system to measure lap-time of participants in a race with the help of sensors counters, LEDs and a seven segment display.
Honors & Awards
-
Performance Award
-
Received official recognition for outstanding quality of work and timely completion of deliverables.
-
Bravo Award
ARM Embedded Technologies
Awarded for quick ramp-up on Embedded Trace Module functioning and Outstanding Execution of verification tasks ahead of schedule
-
Bravo Award
ARM Embedded Technologies
Awarded for extremely good collaboration and team work shown with the Architecture team for smooth delivery of results
-
Bravo Award
ARM Embedded Technologies
Awarded for excellent work towards ISA verification of 2 next generation cores and handling multiple late requests to deliver high quality RTL
Recommendations received
1 person has recommended Saransh
Join now to viewMore activity by Saransh
-
HPCA 2025 call for papers is out! Submit your best work and come to Las Vegas! Abstracts July 26, full papers August 2, 2024.
HPCA 2025 call for papers is out! Submit your best work and come to Las Vegas! Abstracts July 26, full papers August 2, 2024.
Liked by Saransh Jain
-
MICRO 2024 is now accepting submissions. Abstracts due April 11, full papers April 18. Have at it!
MICRO 2024 is now accepting submissions. Abstracts due April 11, full papers April 18. Have at it!
Liked by Saransh Jain
-
As promised earlier this year, I've been thinking of ways to give back to the community and support students and learners. While the free…
As promised earlier this year, I've been thinking of ways to give back to the community and support students and learners. While the free…
Liked by Saransh Jain
-
The most comprehensive portfolio of performant, power-efficient and FuSa-enabled CPU’s the world has ever seen!
The most comprehensive portfolio of performant, power-efficient and FuSa-enabled CPU’s the world has ever seen!
Liked by Saransh Jain
-
Today we launched our third generation of Arm Neoverse. It's by far the best generation of #Neoverse yet! Today's announcement includes two brand…
Today we launched our third generation of Arm Neoverse. It's by far the best generation of #Neoverse yet! Today's announcement includes two brand…
Liked by Saransh Jain
-
Resources for RTL Design: A Shoutout to QuickSilicon! 🚀 To all our connections passionate about RTL design or looking to enhance their skills, we…
Resources for RTL Design: A Shoutout to QuickSilicon! 🚀 To all our connections passionate about RTL design or looking to enhance their skills, we…
Liked by Saransh Jain
-
I'm thrilled to have received my first "Patent Cube" at Microsoft, for my work on "Systems and Methods Utilizing Hardware Models to Detect…
I'm thrilled to have received my first "Patent Cube" at Microsoft, for my work on "Systems and Methods Utilizing Hardware Models to Detect…
Liked by Saransh Jain
-
As the year of 2023 comes to an end, I wish everyone a happy and fruitful new year ahead. 2023 was certainly a year of important milestones and…
As the year of 2023 comes to an end, I wish everyone a happy and fruitful new year ahead. 2023 was certainly a year of important milestones and…
Liked by Saransh Jain
-
What is it like to make a real impact in industry and our daily lives through research? We are thrilled to share this insightful interview with…
What is it like to make a real impact in industry and our daily lives through research? We are thrilled to share this insightful interview with…
Liked by Saransh Jain
-
Together with my colleague Stephen Nellis, we published a big piece of chip news today: that Nvidia and AMD are designing Arm-based PC chips. The…
Together with my colleague Stephen Nellis, we published a big piece of chip news today: that Nvidia and AMD are designing Arm-based PC chips. The…
Liked by Saransh Jain
Other similar profiles
Explore collaborative articles
We’re unlocking community knowledge in a new way. Experts add insights directly into each article, started with the help of AI.
Explore MoreOthers named Saransh Jain in United States
-
Saransh Jain
Incoming Freshman @ UC Berkeley
-
Saransh jain
Product Engineering Director at Cadence Design Systems
-
Saransh Jain
-
Saransh Jain
9 others named Saransh Jain in United States are on LinkedIn
See others named Saransh Jain