Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 15

LOW-POWER TRUE D- FLIP-FLOP WITH REDUNDANT-PRE-CHARGE FREE

OPERATION

Abstract: As essential parts, enhancing power proposed FF is 26.18% lower than that of TGFF at a
utilization of flip-flops (FFs) can fundamentally stock voltage of 1 V. WITH the advancement of the
diminish the force of computerized frameworks. In cycle, the exhibition of computerized framework is
this article, an energy-proficient retentive truesingle- enormously improved, and the power utilization is
stage timed (TSPC) FF is proposed. With the work of turning into a significant restriction of advanced
info mindful precharge conspire, the proposed TSPC frameworks. Likewise, with the quick improvement of
FF precharges just when important. What's more, the Internet of Things (IoT), IoT gadgets are sent for a
drifting hub investigation and semiconductor level huge scope In such battery-controlled or self-fueled
improvement are utilized to additionally guarantee the gadgets, low-power configuration turns into the focal
high energy proficiency of the FF without altogether point of consideration
expanding the region. The reproductions in light of
As fundamental parts, the force of flip-flops (FFs)
45-nm CMOS innovation show that at a stock voltage
represents a huge piece of the force of computerized
of 1 V, the power utilization of the proposed FF is
frameworks Therefore, diminishing the power
normal 84.37% lower than that of regular
utilization of FFs can essentially decrease the power
transmission-door flip-flop (TGFF) at 10%
utilization of the advanced frameworks. Voltage-
information action.
scaling procedure has been ended up being an
appealing strategy to diminish the power utilization of
Introduction. computerized frameworks In request to acquire the
As essential parts, upgrading power utilization of flip- power advantages of voltage-scaling method, it is
flops (FFs) can fundamentally decrease force of important to plan a FF equipped for working at both
advanced frameworks. In this article, an energy- and close/subthreshold supply voltage. The
effective retentive truesingle-stage timed TSPC) FF is transmission-door flip-flop (TGFF) is the most
proposed. With the work of information mindful generally involved FF in current advanced
precharge plot, the proposed TSPC FF precharges just frameworks. The schematic of TGFF is displayed in
when fundamental. Likewise, drifting hub Fig. 1. The TGFF is a - free FF which is reasonable
examination and semiconductor level enhancement for close limit activity. The principal downside of
are utilized to additionally guarantee the high energy TGFF is the organization. The interior hubs CKN and
proficiency of the FF without fundamentally CKI flip regardless of what the information is, and the
expanding the region. Estimation consequences of ten hubs CKN and CKI drive a bigger number of
test chips exhibit the incredible energy proficiency of semiconductors. In this manner, the power utilization
the proposed FF. Besides, the CK-to-Q deferral of the of TGFF is still enormous regardless of whether the
information action stays low. To lessen the power reduce the switching activity by eliminating redundant
utilization of FF, the utilization of correlative clock data in transition. Pulsed flip flops which has single-
signs ought to be advanced. latch structure and less redundant switching activity is
moreadmired than the conventional Transmission
Some low-power single-stage timed FFs have been
Gate (TG) and master slave based flip flops in low
proposed in past works But there are still a few issues
power and high-speed applications (Jin Fa lin 2013).
that influence the power utilization of these FFs. For
Gate Diffusion Input (GDI) based flip flops are
instance, a portion of the FFs fall flat at low stockpile
preferred (Arkaidy et al. 2009) for reduced area and
voltage and some experience the ill effects of huge
power consumption. The energy used by clock
precharge power In request to take care of these
distribution network is steadily steeping up and has
issues, a low power genuine single-stage timed
become a superior fraction of the integrated circuit
(TSPC) FF is proposed in this article. The FF is sans
power (Jin fa Lin 2013). Power Consumption is
conflict and reasonable for wide inventory voltage
calculated by multiple factors like frequency, supply
activity. Moreover, repetitive activity is completely
voltage, capacitance, leakage current, data activity and
taken out in the proposed FF and the power utilization
short circuit current. It is given by the expression
is additionally improved contrasted and past low-
power FFs.Flip Flop (FF) contributes as a significant P= Pdynamic + Pshort circuit + Pleakage
component in power utilization as its lock structure
In the equation , Pdynamic is power due to switching
goes through number of excess changes and option
activities. P short circuit is the short circuit power
charging and releasing in interior hub. Low power flip
resulting from finite rise and fall time of input signals
failure configuration is a lot of fundamental for a
leading to pull up and pull down network to be ON for
proficient plan of low power computerized
a short span of time.
frameworks since it is the essential stockpiling
component of major computerized plans. Pshort circuit = Ishort circuit * Vdd

Flip flops and latches consume a large amount of Pleakage is the leakage power. The reduction in
power due to superfluous transitions and clocking supply voltage leads to decrease in the threshold
system. The Clock (Clk) distribution network and flip voltage in order to maintain performance. However,
flops together contribute 30% to 60% of total power this gives rise to the exponential growth of the
dissipation in a system. A flipflop with high subthreshold leakage current
performance and power efficiency is possible only by
.Pleakage current = Ileakage current * Vdd
a better design.The power consumption should be
reduced considerably or resulting heat will limit the Flip flop and its typesf
feasible package and performance of VLSI systems
flip flop is an electronic circuit which stores a logical
(Rasouli et al. 2005). Flip flops are the critical timing
level data input signals corresponding to the clock
elements which have a massive impact on the circuit
pulse. The main disparity between latches and flip
area, power consumption and speed (Mahmoodi et al.
flops is that the output of the latches will be constantly
2009). An efficient method to reduce power is to
affected by the input as long as the enable pin is
active. In case of flip flops, the data change only at the remembering task helps in creating delay so as to
arrival of positive or negative edge of the clock. The process the data in high level architectures.
flip flop content remains unchanged even at change in
D-flip flops are the modification of SR flip flops with
input after rising or falling edge. There are four types
an additional inverter to avoid indeterminate state
of flip flops. They are:i) SR(Set Reset) flip flopii) D
produced by the SR flip flops. The S and R inputs of
(Delay or Data) flip flopiii) JK (Jack Kilby) flip
the SR flip flop are replaced by a single input D. The
flopiv) T (Toggle) flip flopThe Characteristic
structure of D flip flop is given in Figure 1.3 The state
equation, truth table, excitation table and logic
diagram, Characteristic equation and symbol of D flip
diagram are presented in the following section.1.1.1
flop is represented in Figure 1.4.1.3 JK Flip FlopJK
SR Flip FlopSR flip flops are useful in control
flip flops circuit design is similar to that of SR flip
applications where set or reset of the data bit plays a
flops. The J input is same as the S input as it sets the
key role. However, SR flip-flops change their stored
flip-flop. Similarly, the K input is same as the R input
values only at the active edge or level of the clock
which resets the flip flop. The major difference is
signal. The main drawback of SR flip-flops is that it
when both inputs are made high, the next state of the
enters into an undefined state when both inputs are
JK flip flop is inverse of the current whereas SR
made high simultaneously. The structure of SR flip
flop is given in Figure 1.1

Figure 1.3 Structure of D flip flop

The state diagram, Characteristic equation and symbol


Literature
of SR flip flop is represented in Figure 1.2 State
Flip flops are basically categorized on their triggering
diagram and symbol of SR Flip flopThe truth table of
methods as level triggered and edge triggered. Edge
the SR flip flop is tabulated in table 1.1. It is clearly
triggered flip flops normally read the data only at the
evident that the flip flop enters into indeterminate state
arrival of positive or negative edges while level
when S=1 and R=1.1.1.2 D Flip FlopD-Flip flops are
triggered flip flops read the data only at the arrival of
the fundamental building blocks of major VLSI
positive or negative levels. Depending on the design
systems because of its ability to capture data with
requirement, they are selected for sequential
respect to the clock signal. The data will be retained in
architecture. Various flip flops are surveyed based on
the flip flop until the arrival of next clock and other
their clocking styles, power reduction techniques, area
input changes will be ignored. D-flip flops are called
and delay reduction techniques. The survey reported
as data flip flop due to its ability to latch and
variations in parameters such as number of transistors,
remember data. D-Flip flops are also termed as delay
average power consumption, delay, area and power
flip flop because the above mentioned latching and
delay product. These surveys motivated to design low Peiyi Zhao et al. (2008) proposed a dual edge
power and area efficient edge triggered flip flops triggered flip flopwith clock branch shared scheme in
based on MGDI and FSGDI technique. These surveys order to reduce the number of clockedtransistors. This
also guided to design single architecture sequential method employs both conditional discharge and split
design for universal shift register/counter with pathtechnique to reduce short circuit current. This
memory unit and FSM for ALU & automotive method shows 20% and 12.4%improvement in terms
application. of power consumption and PDP. Simulations
wereperformed using HSPICE with 0.18 μm
Complementary Metal OxideSemiconductor (CMOS)
2.1 literature survey on flip flop design basedon technology.
clocking techniques
Jitendra Kumar Das & K. K. Mahapatra(2008)
Clock signals possess a vital role in efficient flip flop proposed low power latch with dual edge triggered
structureand are achieved through clock distribution technique using 10transistors for hearing aid
networks and pulse generatorcircuits. Yuyin Sung & application. Power saving upto 65% is achieved inthe
Robert Chang (2004) proposed a flip flop that uses Finite Impulse Response (FIR) filter using the
alow swing clock as well as double edge triggered proposed latch.Jin Fa Lin et al. (2010) proposed a flip
operation. The design alsoincludes low threshold flop with pass transistorlogic with dual mode pulse
voltage clocked transistors to avoid leakage generator to avoid threshold voltage loss
current.Hewlett Simulation Program with Integrated problems.The proposed circuit with 25 transistors
Circuit Emphasis (HSPICE)simulation results show work both as single as well as double edge triggered
28% reduced power dissipation and 50% reduction flip flop.
inpower Delay product (PDP).

Xiaowen Wang & William H. Robinson


Liu Zewei & Xie Mei (2008) proposed first in first out (2010)proposed a dual edge flip flop based on
designwith multiple asynchronous clocks for Transmission Gate (TG) logic and newclock gating
Application Specific Integrated Circuit(ASIC) technique comprising of TG. Switching activity
designs. Clock rates 256MHz and 329 MHz are parameter whichis the ratio of data frequency to clock
chosen andimplemented in Altera Cyclone device. frequency is assigned as 0 to 0.4.Simulations are
Vishwanadh Tirumalashetty & HamidMahmoodi performed using various clock frequencies such as 25
(2007) proposed a clock gating technique for energy MHz,50 MHz and 100 MHz using Spectre simulation
recovery flipflops. The traditional square clock is tool. The proposed methodshows 97.85%
replaced by sinusoidal clock in energyrecovery flip improvement in power compared to traditional single
flops that recycles energy from clock network edgetriggered flip flops.
capacitance.About 47% power reduction is achieved
Sangmin Kim et al. (2011) proposed a clock gating
through the clock gating technique,applied to a system
techniquenamed pulser gating for pulsed latch circuits.
with 1000 flip flops with 50% data switching activity.
Pulser gating synthesis is doneby extracting the gating Synopsys tools with IBM 65nmtechnology.
function of each latch from gate level netlist to Simulation results shows 20% improvement in
obtainbetter gating probability. The heuristic powerconsumption than traditional single edge
algorithm is proposed to obtain a betterparameters triggered flip flops.
using 45nm technology.Sudeep Balan & Sanil K
Jia Song et al.(2012) proposed hybrid latch clock
Daniel (2012) proposed sense amplifierbased dual
controlled flip flop with static and TGbased latch
edge triggered flip flop. The design comprises of three
structures.Kalarikkal Absel et al. (2013) proposed a
stagesnamely pulse generator, sensing stage and
dual dynamic hybridflip flop with pulse generator
latching stage. The design requireshalf the frequency
using embedded logic with 90nm CMOSmodels. The
that of single edge triggered flip flop maintaining the
specialty of the design is the presence of split dynamic
samethroughput.
node todrive pull up and pull down transistors
Seyed E. Esmaeili et al. (2012) proposed low swing respectively. Simulation result shows37% and 30%
sinewaveform clock flip flop for further power improvement in power reduction at 25% and 50%
consumption reduction in clockdistribution networks. dataswitching activities respectively. The proposed
The simulations are performed using HSPICE and design is also implemented infour bit Johnson up-
thefunctionality is verified in dual mode Multiply and down counter.
Accumulate unit (MAC)with Taiwan Semiconductor
Manufacturing Company (TSMC) 90nm
CMOStechnology.Yin Tsung Hwang et al. (2012) Mei-Wei Chen et al. (2013) proposedexplicit pulsed
proposed conditional pulseenhancement based pulse flip flop with level converting technique. The design
triggered flip flop. The Pass Transistor Logic alsoprovides a novel pulse generator sharing technique
(PTL)based AND gate and conditional pulse with symmetric pulsetriggering. The simulation uses
enhancement is the spotlight of thiswork. This reduces TSMC 65nm CMOS technology and has areduced D
the number of stacked transistors in the discharging to Q delay of about 781ps.Guang-Ping Xiang et al.
path.Simulations are done based on CMOS 90nm (2013) proposed conditional clockingbased low power
technology and produced 38.4%better result than TG pulse triggered flip flop to reduce redundant
based flip flops. transitions.Simulations are performed using HSPICE
with TSMC 0.18μm technology.
Imran Ahmed Khan et al. (2012)proposed 2 GHz dual
edge triggered flip flop based on TG. The proposed Mohamed Shaker & Magdy Bayoumi (2013) proposed
dualedge triggered flip flop is simulated using clock two novel clock gatedflip flop designs reduce
frequencies ranging from 400MHz to 2 GHz in 65nm switching power and redundant clock cycles.
CMOS technology for efficiency in Energy Theproposed designs are implemented in 8-bit
DelayProduct (EDP).Farshad Moradi et al. (2012) successive approximation register.Simulations are
proposed pulsed sense amplifier flipflop and pulsed performed using Spectre tool with 90nm CMOS
transmission gate flip flop using a novel pulsed technology.Massimo Alioto et al. (2014) analyzed
generator. Thesimulation is done using Cadence and process, voltage andtemperature variations of various
dual edge triggered flip flop topologiesthrough Monte andreduce leakage power with 90nm technology using
Carlo simulations which assist in selecting the exact Tanner 13.0 tool.
topologyfor particular application. Masashi Imai &
Jin-FaLin (2013) proposed flip flop based on explicit
Tomohiro Yoneda (2014) proposeda specialized flip
pulse triggered structure andlatch based on signal feed
flop design for two phase handshaking sequential
through scheme. Simulations result shows
circuitscomprising of multiple clocks, multi bit and
22.7%improvement in power reduction and 29.7%
multiple edge triggered featureswith 22nm CMOS
improvement in PDP with TSMC90nm CMOS
technology.Andrea Bonetti et al. (2015) proposed dual
technology.
edge triggered flipflop with true single phase clock to
avoid clock overlapping problem with40nm CMOS T.Sharanya & S.Aruna Mastani (2016)
technology. The simulation result shows 35% proposedexplicit type pulse generator for flip flops
improvement inclock to Q delay compared to using inverters and transmissiongates. The pulse width
conventional designs. Riadul Islam & MatthewR. can be varied by increasing the number of
Guthaus (2015) proposed a design that uses current inverters.The proposed design is compared with
mode signaling in clockdistribution network. They NAND gate based pulse generatorusing
exploited the use of current mode flip flops inclock HSPICE.Efficient flip flop design demands low power
distribution network at 5 GHz and validation is done for its operation.Researchers proposed different
through Monte-Carlo simulations. The proposed approaches to reduce power consumption
design shows 62% power reductioncompared to byconcentrating on their structures and design
conventional clock distribution network. parameters.Kuo-Hsing Cheng & Yung-Hsiang Lin
(2003) proposed dualedge type flip flop based on split
Jennifer Judy Dominic Jawahar et al. (2016) proposed
output latch technique. The transistor countis reduced
resonantclocked self gated flip flop which uses a
compared to conventional type and simulations are
resonant clock in clock distributionnetwork to reduce
performedunder 2.7 GHz clock frequencies with
dynamic power dissipation. The spotlight of the
0.35μm CMOS technology. About 36%and 29%
design is itsnegative set up time to make the design
improvement in power dissipation is achieved at 3.3V
immune against clock skew.
and 2.5Vvoltage respectively.
Thesimulations are performed using cadence with
Peiyi Zhao et al. (2004) proposed conditional
45nm CMOS technology.Stepan Lapshev & S. M.
dischargeflip flop by comparing conditional pre-
Rezaul Hasan (2016) proposed five low power
charge and conditional capturetechniques. Proposed
dualedge flip flops using a three terminal device
design shows 39% improvement in power reduction
named C element to avoid glitches. Monte Carlo
compared to other conventional designs. Simulations
simulations are performed with 28nm
are performed usingHSPICE with 0.18μm CMOS
CMOStechnology.Paanshul Dobriyal et al. (2012)
technology.Jianping Hu et al. (2005) proposed flip
propsed a low power clockingsystem using mutli
flop design based oncomplementary pass transistor
threshold CMOS technique to avoid internal switching
adiabatic logic with two phase clock scheme toreduce
average power consumption using SPICE and
implemented the designin 8421 Binary Coded Satish Chandra Tiwari et al. (2010) proposed static
Decimal (BCD) up down counter. master-slavedual egde triggered flip flop for low
voltage operation with 180nm CMOStechnology. The
S.H. Rasouli et al. (2005) proposed modified hybrid
proposed design exhibits 55.7% improvement in
latch flipflop with single and double edge triggering to
powerreduction compared to conventional dual edge
avoid redundant transitions.Simulations are performed
type flip flops. Y. Berg (2011)proposed ultra low
using HSPICE with 0.18μm CMOS technology
power CMOS flip flop by replacing conventional
and21% power reduction is achieved than
pulsegenerator in sense amplifier flip flop with tri-
conventional designs. M. W. Phyu et al.(2005)
state edge generator circuit toachieve better PDP.
proposed output controlled discharge based static dual
Simulations are performed using Cadence tool with
edge type flipflop for power reduction with no pre-
90nmCMOS technology.Ashna V R & M
charge and conditional discharge.Simulations are
Jagadeeswari (2013) proposed merged flip
performed using HSPICE with 0.18μm CMOS
floptechnique to reduce clock power by using
technology andreduction in PDP is achieved.
combination table. The tablecontains the datas of the
Lih-Yih Chiou & Shien-Chun Lou (2007) proposed flip flop that can be merged. Simulations
levelconverting flip flop design using power aware areperformed using Xilinx ISE design suite 12.3 with
latch structure and balancedpulse trigger technique to Spartan 3E-XC3S250 astarget device. Result shows
reduce power consumption in sleep mode and 23.68% reduction in dynamic power and
activemode. Simulations are performed using HSPICE 8.55%reduction in total power compared to
with 0.18μm CMOStechnology. Jianping Hu et al. conventional designs.
(2007) proposed data retention adiabatic flipflops with
2Low Power LNA for UWB Application using
power gating scheme using complementary pass
Source Degeneration Technique
transistor adiabaticlogic. The novelty of the design is
As the supply voltage is reduced, the transistor moves
the use of refresh and active enableterminals for
into the sub-threshhold conduction region and the
power gating operation. Simulations are performed
performance of transistor degrades in terms of
using TSMC0.18μm CMOS technology.Leisheng
different parameters. Therefore, a trade-off is required
Gao (2009) proposed energy recovery flip flop based between different parameters of LNA for low power
ontrue single phase clock to cascade with such as efficiency (gm/ID), defined as ratio of
combinational circuits. The proposeddesign shows transconductance to drain current, intrinsic voltage
31% power reduction compared to conventional gain (gm/gds) and operating frequency (ft). A design
design at 1.2V operating voltage with 0.18μm CMOS biasing metric has been considered including the
technology. Surya Naik & RajeevanChandel (2010) effects of above defined parameters and to set the
proposed a flip flop design comprising of 5 value gate to source voltage (VGS) and drain to
transistorsincluding only one clocked transistor. The source voltage (VDS) [31].
proposed design utilizes multiplethreshold CMOS
power minimization technique for power reduction Design of low power d-flip flop Gate diffusion
with0.13μm and 0.35μm technology nodes. input
The basic GDI cell looks similar to a CMOS inverter changing the data sources. Table 1 shows the rationale
but differsin various functionalities. The GDI (Gate table for executing different boolean capabilities
Diffusion Input) cell contains fourterminals namely G, utilizing GDI and Table 2 shows the semiconductor
P, N and D. The terminal ‘P’ is the diffusion-node count examination between the GDI and ordinary
ofPMOS transistor, terminal ‘N’ represents the CMOS executions of various Boolean capabilities. F1
diffusion-node of NMOStransistor, the terminal ‘G’ and F2 are the two all inclusive rationale capabilities
represents the common gate input terminal of presented by GDI which can be utilized to
bothPMOS & NMOS transistor and the terminal ‘D’ acknowledge other complex capabilities more
represents the commondiffusion-node of both NMOS productively than th general NAND and NOR
& PMOS transistor which acts as output.. rationale entryways.

Overview of GDI approach

This part gives a short outline on one of the famous


computerized rationale methods lately, Gate-Diffusion
Input . Number of intricate rationale capabilities can
be acknowledged utilizing GDI method with just two
semiconductors. The GDI rationale relies upon the
utilization of a basic cell as displayed in Fig. 1. The a
construction of the cell looks like the static CMOS
inverter yet there are a few critical contrasts to note.
GDI cell contains 3-inputs: G-normal contribution to
both PMOS and NMOS, N-contribution to the
source/channel of the NMOS and Pinput to the
source/channel of the PMOS.Body terminals of both
the NMOS and PMOS are randomly one-sided in GDI
by associating with the data sources N and P,
separately. The GDI strategy was initially presented
for creation in Silicon on Insulator (SOI) and twin-
b
well CMOS processes.
Fig: 1 Structure of a basic gate diffusion input (GDI)
Afterward, standard CMOS viable GDI cell was
cell with inputs G, P, and N.
presented it was shown that the vast majority of the
rationale capabilities like AND, OR, XOR, and MUX Proposed system

are complicated which require 6-12 semiconductors to The TSPC FF pre-charges just when fundamental.
carry out utilizing regular static CMOS and What's more, drifting hub investigation and
transmission door rationale, however a similar semiconductor level improvement are utilized to
rationale capabilities can be executed with just two additionally guarantee the high energy effectiveness of
semiconductors utilizing GDI cell by basically the FF without fundamentally expanding the region.
The recreations in light of 45-nm CMOS innovation introduced. The proposed MTSPC DFF isn't
show that at a stock voltage of 1 V, the power just consumed low power yet in addition it has
utilization of the proposed FF is normal 60% lower a higher most extreme recurrence of wavering
than that of regular transmission-entryway flip-flop and PDP contrasted with TSPC DFF, as we
(TGFF) at 10% information action. will examine shortly.A. Activity of the current
TSPC DFFIn the current positive edge set off
TSPC D Flip-Flop in the Fig., when the clock
signal Clk is LOW, the info is confined from
the result Qb, since the hub B pre-charged to
HIGH, and Qb keeps up with its more
established esteem.
At the point when Clk is HIGH, hub B won't be
Positive edge triggered MTSPC DFF impacted. In this way when Clk is steady at one
or the other HIGH or LOW, the information is
Investigation of the way of behaving of hub B
segregated from the result. At the point when
uncovers that for the times, when there is a way
Clk makes a LOW-to-HIGH change, the Qb
to ground, hub B will continuously pre-charged
will hook the supplement of the info and Q will
to HIGH when clock (Clk) is LOW and will
pass the contribution to the result. At the point
get once again to LOW when Clk is HIGH. In
when the preset info (RESET) is LOW the
this way, at whatever point the information D
preset PMOS will be ON and Qb keeps up with
is at a steady LOW for quite a while as for Clk,
its worth HIGH for however long RESET is
hub B encounters ceaseless flipping. Such
LOW.Operation of the proposed MTSPC
superfluous conduct represents enormous
DFFAnalysis of the way of behaving of hub B
power utilization as well as a wellspring of
uncovers that for the times, when there is a way
commotion on the result hub, Q, brought about
to ground, hub B will constantly precharged to
by wrong errors caused each time Clk makes a
HIGH when clock (Clk) is LOW and will get
LOW-to-HIGH progress. To tackle this issue,
once again to LOW when Clk is HIGH. Thus,
the proposed MTSPC DFF design uncovers
at whatever point the information D is at a
that at whatever point the way to ground is ON,
steady LOW for quite a while regarding Clk,
pre-charging hub B ought to be suspended to
hub B encounters persistent flipping. Such
forestall flipping. A basic procedure that works
superfluous conduct represents huge power
here is to add a PMOS semiconductor that
utilization as well as a wellspring of
forestalls the pre-charging stage to happen
commotion on the result hub, Q, brought about
without influencing the worldwide situation of
by mistaken misfires caused each time Clk
the flip-flop. To demonstrate this case, consider
makes a LOW-to-HIGH progress. To tackle
the above Fig.In this segment the current
this issue, the proposed MTSPC DFF design
positive edge set off TSPC DFF and the
uncovers that at whatever point the way to
proposed positive edge set off MTSPC DFF are
ground is ON, pre-charging hub B ought to be for MTSPC DFF. The reproduction
suspended to forestall flipping. A consequences of switch mode TSPC DFF with
straightforward strategy that works here is to clock recurrence of 2 GHz and recreation
add a PMOS semiconductor that forestalls the season of 10 ns is displayed in Fig. 5 and the
pre-charging stage to happen without reproduction consequences of switch mode
influencing the worldwide effort of the flip- MTSPC DFF with clock recurrence of 4 GHz
flop. and recreation season of 10 ns is displayed in
To demonstrate this case, think about the Fig
accompanying Fig. 3. Assuming Clk is LOW RESULTS
and D is LOW, hub B, and subsequently the To dissect the presentation of the proposed circuit
hub Qb, keep up with their old qualities. If D CADENCE reenactments were done in view of 45nm
changes to HIGH,node B pre-charge to HIGH; and advancements, and its exhibition have been
once more, the result stays unaffected. contrasted and the other DFFs. The working
Presently, in the event that Clk makes a LOW- recurrence of all the DFF is set at 10MHz. The typical
to-HIGH change, hub B keeps up with its power utilization, assessed. The typical power
charge (HIGH), and the hub turns out to be utilization is normal worth of circuit power utilization
LOW. From that point forward, regardless of viable of the multitude of conceivable information
whether D turns out to be LOW once more, the mixes and a few cycles. Obviously, the DFF has the
result won't be impacted. In the event that Clk least power utilization at all fluctuating inventory
makes a LOW-to-HIGHtransition while D is voltages among other DFF. It is clear that the CMOS
LOW, hub B will release, and the hub Qb will has the littlest postponement at lower supply voltage.
be HIGH and Q will be low. At the point when
the preset information (RESET) is low the
preset PMOS will ON and the hub Qb keeps up
with HIGH. The reenactment aftereffects of
this preset-capable MTSPC D flip-flop is
shown Fig. 4 and in such manner we were
utilized CADENCE Virtuoso 45nm CMOS
innovation device with clock recurrence 1GHz
and recreation seasons of 10nS.
The power consumed by MTSPC D flip-flop
is11.83 μW.C. Switch mode Operation of the
Fig: Schematic of the proposed DFF
TSPC and MTSPC DFFTo test the flip method
of activity, the result, Qb is associated with D
contribution of the TSPC and MTSPC D flip-
flop. The clock recurrence applied for switch
mode activity is 2 GHz for TSPC and 4 GHz
Fig: Test bench of the proposed DFF

CONCLUSION

In this work, a new preset-able modified true single


phase clocked (MTSPC) D flip-flop with GDI
technique is proposed. The technique utilizes a
clocked dynamic logic. The unlike a TSPC D flip-
flop. The preset-able TSPC D flip-flop has more noise
at the output, this noise not only affect the output but
also consumed very large power. The Proposed preset-
Fig: Simulation results of DFF able MTSPC D flip-flop has very less noise at the

Table : Performance Comparison output and consequently the power consumption is


also very low. The proposed preset-able MTSPC D
Parameters TSPC This work
flip-flop can be use fast, low power electronics world.
Using the above technique a DFF is designed using
Input clock frequency 1Mz 10Mz
4nm CMOS technology. In this design maximum
Clock-to-Q delay (Low to- 92ps 51ps
High) 10MHz frequency of operation is achieved. Power
Clock-to-Q delay (Highto 143ps 113ps consumption is reduced from 20 uW
Low)
Average Clock-to-Q 118ps 81ps
Delay
Average power 75uw 20uw
REFERENCES
consumption
1. Abdelkader, O, Mostafa, H, Abdelhamid, H &
Soliman, A 2015,‘Impact of technology scaling on the
minimum energy point forFinFET based flip-flops’,
Proceedings of the IEEE International Conference on
Electronics, Circuits, and Systems, pp. 462-465.

2. Absel, K, Manuel, L & Kavitha, RK 2013, ‘Low-


power dual dynamicnode pulsed hybrid flip-flop
featuring efficient embedded logic’, IEEEtransactions
on very large scale integration (VLSI) systems, vol. Journal of Advanced Research in Electronicsand
21, no.9, pp. 693-1704. Communication Engineering, vol. 4, no. 3, pp. 614-
618.
3. Aditya, KVSS, Kotaru, BB & Naik, BB 2014,
‘Design of low powershift register using activity- 10. Arunya, R, Ramya, A, Umarani, P &
driven optimized clock gating and run-timepower Balamugaran, V 2013, ‘Design Of3 bit synchronous
gating’, Proceedings of the IEEE International Counter using DLDFF’, International Journal
Conference onCommunication and Electrical ofEngineering Research and Applications, vol. 3, no.
Engineering, pp. 1-7. 2, pp.1258-1262.

4. Alioto, M , Consoli, E & Palumbo, G 2015, 11. Ashna, VR & Jagadeeswari, M 2013, ‘Design of
‘Comparative analysis ofthe robustness of master- low power clockingsystem using merged flip-flop
slave flip-flops against variations, Proceedingsof the technique’, Proceedings of the IEEEInternational
IEEE International Conference on Electronics, Conference on Computer Communication
Circuits, andSystems, pp. 117-120. andInformatics, pp. 1-6.

5. Alioto, M, & Palumbo, G 2003, ‘Design of MUX, 12. Ashwini, H, Rohith, S & Sunitha, KA 2016,
XOR and D-latchSCL gates’, Proceedings of the IEEE ‘Implementation of highspeed and low power 5T-
International Symposium onCircuits and Systems, TSPC D flip-flop and its application’,Proceedings of
Vol. 5, pp. V261-V264. the IEEE International Conference on
Communicationand Signal Processing, pp. 275-279.
6. Alioto, M, Consoli, E & Palumbo, G 2014,
‘Analysis and comparisonof variations in double edge 13. Balan, S & Daniel, SK 2012, ‘Dual-edge triggered
triggered flip-flops’, Proceedings of theIEEE 5th sense-amplifier flipflopfor Low Power systems’,
European Workshop on CMOS Variability , pp. 1-6. Proceedings of the IEEE InternationalConference on
Green Technologies, pp. 135-142.

14. Berg, Y 2011, ‘Novel high speed differential


7. Alioto, M, Palumbo, G & Consoli, E 2015, ‘PVT
CMOS flip-flop for ultralow-voltage appications’,
variations indifferential flip-flops: A comparative
Proceedings of the IEEE 9th InternationalConference
analysis’, Proceedings of theIEEE European
on New Circuits and Systems, pp. 241-244.
Conference on Circuit Theory and Design, pp. 1-4.
15. Bernard, S, Valentian, A, Belleville, M, Bol, D &
Legat, JD 2013, ‘Anefficient metric of setup time for
8. Aloisi, W & Mita, R 2008, ‘Gated-clock design of pulsed flip-flops based on outputtransition time’,
linear-feedback shiftregisters’, IEEE Transactions on Proceedings of the IEEE International Conference
circuits and systems II: Express briefs,vol. 55, no. 6, onIC Design & Technology, pp. 9-12.
pp. 546-550.
16. Bhagyalakshmi, V, Teja, MR & Mohan, CM 2014,
9. Ananthi, M & Kumar, CS 2015, ‘QDR SRAM ‘Design and VLSISimulation of SRAM Memory Cells
Design Using Multi-bitFlip-Flop’, International for Multi-ported SRAM’s’,International Journal of
Research in Electronics and Proceedings of the IEEE InternationalSymposium on
CommunicationTechnology, vol. 2, no. 4, pp. 21-24. Circuits and Systems, pp. 1157-1160.

17. Bonetti, A, Teman, A & Burg, A 2015, ‘An 24. Consoli, E, Palumbo, G & Pennisi, M 2012,
overlap-contention freetrue-single-phase clock dual- ‘Reconsidering high-speeddesign criteria for
edge-triggered flip-flop’, Proceedings ofthe IEEE transmission-gate-based master–slave flip-flops’,IEEE
International Symposium on Circuits and Systems, pp. Transactions on Very Large Scale Integration (VLSI)
1850-1853. Systems,vol. 20, no. 2, pp. 284-295.

18. Chandrasekaran, R , Lian, Y & Rana, RS 2005, ‘A 25. Constantino, JBA & Madamba, JAR 2011, ‘Static
high-speed lowpowerD flip-flop’, Proceedings of dual edge flip flopimplementations on the 90nm
ASICON, Sixth InternationalConference on ASIC, process’, Proceedings of the IEEE FifthAsia
Vol. 1, pp. 82-85. Modelling Symposium, pp. 259-264.

26. Dai, Z, Li, W, Chen, T & Ren, Q 2008, ‘Design


and implementation ofa high-speed reconfigurable
19. Chaudhary, P, Das, BK & Ahuja, S 2016, ‘Design
feedback shift register’, Proceedings of the4th IEEE
of low Powermemory cell using D Flip Flop under
International Conference on Circuits and Systems
adiabatic reduction’, Proceedings of the IEEE
forCommunications, pp. 338-342.
International Conference on Signal Processing
andIntegrated Networks, pp. 20-23. 27. Das, JK & Mahapatra, KK 2008, ‘Low power
filter design using anovel dual edge triggered latch’,
20. Chen, MW, Chang, MH, Wu, PC, Kuo, YP, Yang,
Proceedings of the IEEE InternationalConference on
CL, Chu, YH &Hwang, W 2013, ‘A dual-edged
Electronic Design, pp. 1-5.
triggered explicit-pulsed levelconverting flip-flop with
a wide operation range’, Proceedings of theIEEE 26th 28. Deepali, DT, Mahantesh, PM, Hansraj, G &
International Conference on SOC, pp. 92-97. Deshmukh, SC 2016,‘CMOS 8-bit Counter for ADC
Application’, International Journal ofTrend in
21. Cheng, KH & Lin, YH 2003, ‘A dual-pulse-clock
Research and Development, vol. 3, no. 5, pp. 41-43.
double edge triggeredflip-flop for low voltage and
high speed application’, Proceedings ofthe 2003 IEEE 29. Delphin, DA, Electa Alice, A & Babu, A 2016,
International Symposium on Circuits and Systems, ‘Design of a 4-Bit Non-Volatile SRAM Using
Vol.5, pp. V425-V428. Magnetic Tunnel Junction’, International Journalof
Research in Engineering and Technology, vol. 5, no.
22. Cheng, LJ & Zhong, YH 2003, ‘A new low-power
4, pp. 186-191.
readout shift registerfor CMOS image sensors’,
Proceedings of the IEEE 5th internationalconference
on ASIC, pp. 902-905.
30. Deng, X, Mo, Y, Tang, X, Lin, X & Liu, L 2015,
23. Chiou, LY & Lou, SC 2007, ‘An energy-efficient ‘A novel senseamplifierbased flip-flop with bulk-
dual-edge triggeredlevel-converting flip-flop’, driven technique’, Proceedings ofthe IEEE
International Conference on Semiconductor 19thInternational Conference on Electronics, Circuits
Technology, pp.1-3. and Systems, pp. 292-295.

37. Fish, A, Mosheyev, V, Linkovsky, V & Yadid-


Pecht, O 2004, ‘Ultralow-power DFF based shift
31. Desai, N 2014, ‘Design of low power
registers design for CMOS image
Asynchronous 6 TransistorSRAM in 45nM CMOS
sensorsapplications’, Proceedings of the IEEE
with the use of pass transistor based treedecoders and
International Conference onElectronics, Circuits and
static CMOS based timing circuitry along with
Systems, pp. 658-661.
processvariation simulation’, International Journal of
Advances in ScienceEngineering and Technology, 38. Gao, L, Zhou, Y & Liu, H 2009, ‘True single-
vol. 1, no. 3, pp. 36-38. phase energy recoveryflip-flop for low-power
application’, Proceedings of the IEEE 8thInternational
32. Dobkin, R, Ginosar, R & Kolodny, A 2006, ‘Fast
Conference on ASIC, pp. 1173-1176.
asynchronous shiftregister for bit-serial
communication’, Proceedings of the 39. Gao, X, Klumperink, EA & Nauta, B 2007, ‘Low-
IEEEInternational Symposium on Asynchronous jitter multi-phaseclock generation: A comparison
Circuits and Systems, pp.117-126. between DLLs and shift registers’, Proceedings of the
IEEE International Symposium on Circuits
33. Doi, T & Niranjan, V 2016, ‘Low Power and High
andSystems, pp. 2854-2857.
Performance RingCounter Using Pulsed Latch
Technique’, Proceedings of the IEEEInternational
Conference on Micro-Electronics and
40. Gowtham, N & Rambabu, K 2016, ‘SRAM
TelecommunicationEngineering, pp. 584-586.
Design Approach usingPulsed Latch based Shift
34. Durgadevi, M & Lavanya, R 2015, ‘Design of Register’, International Journal ForTechnological
Low Power Sram usingAdiabatic Change of Wordline Research In Engineering, vol. 4, no. 3, pp. 461-466.
Voltage’, International Journal ofComputer
applications, vol. 4, pp. 21-27.
41. Gupta, K, Sharma, P & Pandey, N 2016, ‘Design
35. Esmaeili, SE, Al-Kahlili, AJ & Cowan, GE 2012,
of low powersubthreshold linear feedback shift
‘Low-swingdifferential conditional capturing flip-flop
registers’, Proceedings of the IEEEInternational
for LC resonant clockdistribution networks’, IEEE
Conference on Power Electronics, Intelligent Control
transactions on very large scaleintegration (VLSI)
andEnergy Systems, pp. 1-5.
systems, vol. 20, no. 8, pp. 1547-1551.
42. Heo, J & Kim, T 2016, ‘Timing Analysis and
36. Esmaeili, SE, Islam, R, Al-Khalili, AJ & Cowan,
Optimization Based onFlexible Flip-Flop Timing
GE 2012, ‘Dual-edgetriggered sense amplifier flip-
Model’, Proceedings of the IEEE ComputerSociety
flop utilizing an improved scheme toreduce area,
Annual Symposium on VLSI, pp. 42-46.
power, and complexity’, Proceedings of the IEEE

You might also like