Download as pdf or txt
Download as pdf or txt
You are on page 1of 92

Introduction to PnR

Ahmed Abdelazeem

Discover
NTI theDiscover
- Nationalworld at the world
Leiden University
Telecommunicationat Leiden University
Institute 1
Index
1. Introduction to VLSI
2. ASIC Design
• Design approaches
• ASIC VS FPGA
• ASIC Cost
• Fabless VS FABs

3. CMOS Basics
• CMOS Characteristics
• Delay in CMOS circuits
• Power in CMOS circuits

4. Basics of Microfabrication
5. Introduction to PnR
6. Standard Cell libraries
7. Analysis Corners

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 2
Cell-Based Design Flow
• Front-End “Digital Design” Implenentation Verification
RTL code
1. System specification and architecture always @ (posedge clk)
if (in1==1)
RTL Simulation
Lint check
a=c+d
2. HDL (verilog or VHDL) coding else
code coverage analysis
a=c-d
 Behavioral simulations using RTL (HDL) Logic synthesis Formal
Gate-Level netlist
3. Synthesis Gate level Simulation
Static Timing Analysis
4. Gate-level simulations Power Analysis

• Back-End “Digital Implementation” Post layout


Formal
Gate level Simulation
Place&Route Static Timing Analysis
Gate-Level netlist
1. Floorplanning, Power grid design Power Analysis

2. Standard-cell Placement transistor netlist


LVS
3. Interconnect routing GDS layout

4. DRC (Design Rule Check) Extraction

5. LVS (Layout vs Schematic) DRC Transistor-level Simulation


6. Static timing analysis Tape out
Transistor-level STA
Power Analysis

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 3
Motivation

The Intel 486 DX2, 1992


1.2M Transistors

First Integrated circuit,


1964 The Intel 4004, 1971
2,300 Transistors Itanium 2 “Montecito”,
2006
1.7B Transistors
Discover
NTI the world
- National at Leiden University
Telecommunication Institute 4
Motivation
Apple M2
Introduced 2022
Technology TSMC 5nm
memory 2MB L1
20MB L2$
8MB L3$
Cores 8
Threads 16
Frequency 2.42- 3.5 GHz
Die Size 141.7 mm2
#Transistors 20 B

Apple_M2

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 5
Motivation
• Hummmm!!, we have a problem…

Logic transistors per chip

Trans./ Stuff-Mo
Productivity
“in Millions”

“Moore’s Law of Engineers”

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 6
Motivation
• How on earth do we design such a thing???

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 7
The Solution

Design
Design Design Reuse
Automation
Abstraction (IP)
(EDA)

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 8
Introduction to VLSI
Very large-scale integration (VLSI)
Various circuit elements: transistors, capacitors, resistors , and
even small inductances can be integrated on one chip.
• Integrating different devices on the same chip enhances
performance and decreases area.
• SoCs allows semiconductor manufacturers to build smaller
and simpler systems embedded in a single chip, resulting in a
reduction of cost and increased efficiency of a particular
system as opposed to its equivalent board-level system, built
with standard parts and additional components.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 9
Moore’s Law
• Moore’s Law predicts that the number of transistors
per device will double every two years.
• Moore's prediction has been used in the
semiconductor industry to guide long-term planning
and to set targets for research and development, thus
functioning to some extent as a self-fulfilling
prophecy.
• Moore’s Law is and always has been driven by
innovation.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 10
“Moore’s Law is alive and well”

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 11
VLSI Design Styles
• Due to time and cost constraints, very few teams and/or companies develop products from device level
through to system level.
• Various Design Styles are available to shorten the time-to-market and development cost.
• Trade-offs are taken into consideration, as abstractions are usually designed generically and therefore come
with some overhead.
• In the following slides, we will briefly discuss:
1. Full custom design
2. Standard Cell based ASIC design
3. Field-Programmable Gate Array (FPGA) design
4. Microprocessor (Software)

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 12
ASIC
Application-Specific Integrated Circuit (ASIC)
• is an integrated circuit (IC) chip customized for a
particular use, rather than intended for general-
purpose use. 100’s of millions of logic gates can be
integrated on the same chip using ASICs to create
incredibly large and complex functions.
 Example: Cell Phone SoC
• Due to the extremely expensive cost of building a
new silicon foundry , many companies work in
design only and few companies specialize in
fabricating their designs.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 13
The VLSI Design Ecosystem

ASIC

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 14
VLSI Design Styles – Full Custom
Full Custom Design
A design methodology useful for integrated circuits. In
this design, the resistors, transistors, digital logic,
capacitors and analog circuits are all positioned in the
circuit layout.
• Design all by yourself
• Analog Design, and Standard Cell.
• Pros “highest degree of flexibility”
- Higher performance
- Lower energy per workload (lower power)
- Smaller chip area.
• Cons
- Huge design effort
- High Design cost and NRE cost.
- Design is frozen in silicon, and
- Long time to market..

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 15
VLSI Design Styles – Semi-Custom
Semi-Custom [Standard Cell Based ASICs]
• Design using standard cells
• Standard cells come from library provider
• All logic cells are predesigned, and some mask layers
are only customized
• HDLs are mapped to Libraries
• Pros.
- Many different choices for cell size, delay, leakage power
- Many EDA tools to automate this flow
- Shorter design time.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 16
VLSI Design Styles – FPGA
• FPGA – Field Programmable Gate Array
1. Array of configurable logic blocks, and
programmable interconnect structures
2. Fast prototyping, cost effective for low volume
production
3. HDL (hardware description language) –
is used

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 17
Design Styles – Pros and Cons
• Full Custom Design:
1. Customization for optimized power, performance, area.
2. High complexity = cost, time-to-market, high risk.
• Standard Cell:
1. Simple, fast, reliable.
2. Only Digital designs. Excess power, wirelength, etc.
• FPGA:
1. Post silicon configurability, very inexpensive.
2. High percentage of overhead. High cost per chip.
• Microcontroller (Software)
1. Programmable, very inexpensive
2. Very slow compared to hardware implementation.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 18
ASIC Cost
• Total Product Cost = NRE + (Number of parts ∗ recurring cost per part)
1. NER “Fixed Non-Recurring Engineering cost”
• EDA tools and training.
• Project Development/Verification cost.
a. Analog design and layout design, Logic synthesis, DFT/ATPG, PnR.
b. Formal verification, logic simulation, functional simulation, Co-simulation, Physical verification, EMIR,
Static Timing Analysis.
c. A single EDA tool license can cost hundreds of thousands of dollars.
d. ASIC vendor costs (ex. masks).
2. Unit Cost
• Wafer cost.
• Wafer processing.
• Production yield.
• Packaging.
• Testing

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 19
Exercise 1
• What is the best design approach for implementing each of the following: [hint: you can use a mix of
approaches when needed]
1. ADC
2. Microcontroller
3. AI accelerator HW testing and prototyping.
4. Mobile application chip.
5. Medical and Aerospace applications. [Low volume and high complexity]

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 20
Exercise 2
• What makes an FPGA relatively consumes more power than a corresponding ASIC?

• What makes an FPGA relatively has less performance (Fmax) than a corresponding
ASIC?[Please list 3 reasons at least]

• When can we consider the high NRE cost of ASIC development make sense economically?

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 21
CMOS
• CMOS is the most commonly used technology in
integrated Circuits
• It uses complementary and symmetrical pairs of p-
type and n-type MOSFETs
• No power dissipation at steady state. [Ideally!]
• CMOS is the dominant technology for IC fabrication
mainly due to its efficiency in using electric power
and versatility.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 22
Transistor Operation
• Current depends on region of transistor behavior
• For what 𝑉𝑖𝑛 and 𝑉𝑂𝑈𝑇 are NMOS and PMOS in
I. Cutoff ?
II. Linear ?
III.Saturation ?
0, 𝑉𝑔𝑠 < 𝑉𝑇𝐻 𝐶𝑢𝑡𝑜𝑓𝑓
𝑉𝑑𝑠
𝐼𝑑 = 𝛽 𝑉𝐺𝑇 − 2 𝑉𝑑𝑠 , 𝑉𝑑𝑠 < 𝑉𝑑𝑠𝑎𝑡 𝐿𝑖𝑛𝑒𝑎𝑟
𝛽
𝑉𝐺𝑇 2 , 𝑉𝑑𝑠 > 𝑉𝑑𝑠𝑎𝑡 𝑆𝑎𝑡𝑢𝑟𝑎𝑡𝑖𝑜𝑛
2
𝑊
𝛽 = 𝜇𝐶𝑜𝑥
𝐿
𝑉𝐺𝑇 = 𝑉𝑔𝑠 − 𝑉𝑇𝐻

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 23
CMOS Operation
Cutoff Linear Saturation
NMOS 𝑉𝑔𝑠𝑛 > 𝑉𝑡ℎ𝑛 𝑉𝑔𝑠𝑛 > 𝑉𝑡ℎ𝑛
𝑉𝑔𝑠𝑛 < 𝑉𝑡ℎ𝑛 𝑉𝑖𝑛 > 𝑉𝑡ℎ𝑛 𝑉𝑖𝑛 > 𝑉𝑡ℎ𝑛
𝑉𝑖𝑛 < 𝑉𝑡ℎ𝑛 𝑉𝑑𝑠𝑛 < 𝑉𝑔𝑠𝑛 − 𝑉𝑡ℎ𝑛 𝑉𝑑𝑠𝑛 > 𝑉𝑔𝑠𝑛 − 𝑉𝑡ℎ𝑛
𝑉𝑜𝑢𝑡 < 𝑉𝑖𝑛 −𝑉𝑡ℎ𝑛 𝑉𝑜𝑢𝑡 > 𝑉𝑖𝑛 −𝑉𝑡ℎ𝑛
PMOS 𝑉𝑔𝑠𝑝 > 𝑉𝑡ℎ𝑝 𝑉𝑔𝑠𝑝 < 𝑉𝑡ℎ𝑝 𝑉𝑔𝑠𝑝 < 𝑉𝑡ℎ𝑝
𝑉𝑖𝑛 > 𝑉𝐷𝐷 + 𝑉𝑡ℎ𝑝 𝑉𝑖𝑛 < 𝑉𝐷𝐷 + 𝑉𝑡ℎ𝑝 𝑉𝑖𝑛 < 𝑉𝐷𝐷 + 𝑉𝑡ℎ𝑝
𝑉𝑑𝑠𝑝 > 𝑉𝑔𝑠𝑝 − 𝑉𝑡ℎ𝑝 𝑉𝑑𝑠𝑝 < 𝑉𝑔𝑠𝑝 − 𝑉𝑡ℎ𝑝
𝑉𝑜𝑢𝑡 > 𝑉𝑖𝑛 −𝑉𝑡ℎ𝑝 𝑉𝑜𝑢𝑡 < 𝑉𝑖𝑛 −𝑉𝑡ℎ𝑝

𝑉𝑔𝑠𝑛 = 𝑉𝑖𝑛
𝑉𝑔𝑠𝑝 = 𝑉𝑖𝑛 − 𝑉𝐷𝐷
𝑉𝑑𝑠𝑛 = 𝑉𝑜𝑢𝑡
𝑉𝑑𝑠𝑛 = 𝑉𝑜𝑢𝑡 − 𝑉𝐷𝐷

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 24
Operating Regions

Region NMOS PMOS


A Cutoff Linear
B Saturation Linear
C Saturation Saturation
D Linear Saturation
E Linear Cutoff

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 25
Delay Definitions
 Why delay may be different?
• Rise/fall time of input
• Multiple inputs or paths
• Slow when hot and fast when cold
 𝒕𝒑𝒅 𝑷𝒓𝒐𝒑𝒂𝒈𝒂𝒕𝒊𝒐𝒏 𝑫𝒆𝒍𝒂𝒚
 maximum time from the input crossing 50% to the output
crossing 50%
 𝒕𝒄𝒅 𝑪𝒐𝒏𝒕𝒂𝒎𝒊𝒏𝒂𝒕𝒊𝒐𝒏 𝑫𝒆𝒍𝒂𝒚 NTI - National Telecommunication
 minimum time from the input crossing 50% to the output
crossing 50%

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 26
Delay Definitions
 𝒕𝒓 𝑹𝒊𝒔𝒆 𝑻𝒊𝒎𝒆
 from the output crossing 0.2 𝑉𝐷𝐷 to 0.8 𝑉𝐷𝐷
 𝒕𝒇 𝑭𝒂𝒍𝒍 𝑻𝒊𝒎𝒆NTI - National Telecommunication
 from the output crossing 0.8 𝑉𝐷𝐷 to 0.2 𝑉𝐷𝐷
 Driver : The gate that charges or discharges a node
 Load : The gates and wire being driven

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 27
CMOS Power Basics
• Power is the energy dissipated in a device per unit of time.
• CMOS cell power model
 Switch power (dynamic):
I. Charging Output Load
 Internal power (dynamic):
I. Short Circuit
II. Charging internal Load
 Leakage power (static):
I. when the device is at steady state, no activity in the
device.

Internal power != Short Circuit Power

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 28
CMOS Power Basics –Static Power
• 𝑷𝒕𝒐𝒕𝒂𝒍 = 𝑷𝒔𝒕𝒂𝒕𝒊𝒄 + 𝑷𝒅𝒚𝒏𝒂𝒎𝒊𝒄
• 𝑷𝒔𝒕𝒂𝒕𝒊𝒄 = 𝑰𝒍𝒆𝒂𝒌 𝑽𝑫𝑫
• 𝑷𝒅𝒚𝒏𝒂𝒎𝒊𝒄 = 𝑷𝑺𝒘𝒊𝒕𝒄𝒉𝒊𝒏𝒈 + 𝑷𝒊𝒏𝒕𝒆𝒓𝒏𝒂𝒍
• 𝑷𝑺𝒘𝒊𝒕𝒄𝒉𝒊𝒏𝒈 = 𝜶𝑪𝒍𝒐𝒂𝒅 𝑽𝒅𝒅 𝟐 𝑻𝒓𝒂𝒕𝒆
• 𝑷𝒊𝒏𝒕𝒆𝒓𝒏𝒂𝒍 = 𝑷𝑺𝒉𝒐𝒓𝒕 𝑪𝒊𝒓𝒄𝒖𝒊𝒕 + 𝑷𝒊𝒏𝒕𝒆𝒓𝒏𝒂𝒍 𝑺𝒘𝒊𝒕𝒄𝒉𝒊𝒏𝒈
• 𝑷𝑺𝒉𝒐𝒓𝒕 𝑪𝒊𝒓𝒄𝒖𝒊𝒕 = 𝑰𝑺𝒉𝒕 𝑽𝑫𝑫
• 𝑷𝒊𝒏𝒕𝒆𝒓𝒏𝒂𝒍 𝑺𝒘𝒊𝒕𝒄𝒉𝒊𝒏𝒈 = 𝜶𝑪𝒊𝒏𝒕 𝑽𝒅𝒅 𝟐 𝑻𝒓𝒂𝒕𝒆

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 29
CMOS Power – Static Power
• Static or leakage power is the power consumed when the CMOS logic is not
switching.
• It is state, temperature, process and voltage dependent.
• The non-zero leakage current is due to
I. Subthreshold leakage: as channel is not 100% off due to reduced
transistor geometries and reduced Vth.

II.Gate-oxide tunneling: the charge can tunnel through the gate oxide

III.Revers-biased junction leakage current: There can be leakage


between the diffusion layers and the substrate. Though the p–n junctions
between the source/drain and the substrate are reverse-biased, a small
current can still flow.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 30
CMOS Power Basics
• For all deep submicron technologies, any cell with an active
device is consuming static power even if it isn’t switching!
• For advanced technologies, leakage power can be a major
contributor to the total power, especially at worst-case
leakage corner.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 31
Exercise 3
• Why ARM is popularly used for smartphones and embedded systems and Intel is not?

• For FPGAs, what is the major component of power, static or dynamic power?

• When a new smaller technology node is provided by a semiconductor foundry, what is expected for power and
performance w.r.t older technology with larger dimensions

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 32
CMOS Power Basics –Dynamic Power
• Dynamic power is the power consumed when the circuit is in operation, which means we have applied
supply voltage, applied clock and changed the inputs.
• It is mainly due to the dynamic currents, such as capacitance currents (switching power) and short-circuit
currents (short-circuit power) It is mainly due to the dynamic currents, such as capacitance currents (switching
power) and short-circuit currents (short-circuit power)
• Switching power is the power consumed by the charging and discharging of the load capacitance at the
output of a cell.
• Internal power : Power consumed within the standard cell when the cell is switching.
• 𝑷𝒅𝒚𝒏𝒂𝒎𝒊𝒄 = 𝑷𝑺𝒘𝒊𝒕𝒄𝒉𝒊𝒏𝒈 + 𝑷𝒊𝒏𝒕𝒆𝒓𝒏𝒂𝒍
• 𝑷𝑺𝒘𝒊𝒕𝒄𝒉𝒊𝒏𝒈 = 𝜶𝑪𝒍𝒐𝒂𝒅 𝑽𝒅𝒅 𝟐 𝑻𝒓𝒂𝒕𝒆
• 𝑷𝒊𝒏𝒕𝒆𝒓𝒏𝒂𝒍 = 𝑷𝑺𝒉𝒐𝒓𝒕 𝑪𝒊𝒓𝒄𝒖𝒊𝒕 + 𝑷𝒊𝒏𝒕𝒆𝒓𝒏𝒂𝒍 𝑺𝒘𝒊𝒕𝒄𝒉𝒊𝒏𝒈
• 𝑷𝑺𝒉𝒐𝒓𝒕 𝑪𝒊𝒓𝒄𝒖𝒊𝒕 = 𝑰𝑺𝒉𝒕 𝑽𝑫𝑫
• 𝑷𝒊𝒏𝒕𝒆𝒓𝒏𝒂𝒍 𝑺𝒘𝒊𝒕𝒄𝒉𝒊𝒏𝒈 = 𝜶𝑪𝒊𝒏𝒕 𝑽𝒅𝒅 𝟐 𝑻𝒓𝒂𝒕𝒆

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 33
Dynamic Power – Switching Power
• The power dissipated due to the charging and discharging of
the capacitive load at the output.
• The load capacitance at the output is a function of
interconnects’ capacitance, input pin capacitances of fanout,
and diffusion Capacitance of Drain.

𝑷𝑺𝒘𝒊𝒕𝒄𝒉𝒊𝒏𝒈 = 𝜶𝑪𝒍𝒐𝒂𝒅 𝑽𝒅𝒅 𝟐 𝑻𝒓𝒂𝒕𝒆

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 34
Dynamic Power – Internal Power
• This is the power consumed by the cell when an input
changes, but output does not change.
• Consist of Short Circuit Power and Internal Switching
Power.
• Short Circuit Power: Power dissipated when CMOS
logic gate is switching.
• The major cause is the power dissipated during the brief
interval of time when both the pull-up and the pull-down
structures are “ON”
• Internal Switching power : The power incurred during
the charging and discharging of Cint.
• Internal power is modeled in .libs as a function of input
transition and output capacitance. Its calculation is also
function of toggle rate.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 35
Layout Vs Cross-Section

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 36
Inverter Mask Set
 Fabricated masks
1. N-well
2. Polysilico
3. n+ diffusion
4. p+ diffusion
5. Contact
6. Metal

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 37
Basics of Microfabrication

‘Semiconductor Manufacturing Process’


Discover
NTI the world
- National at Leiden University
Telecommunication Institute 38
Photolithography

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 39
CMOS Fabrication

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 40
CMOS Fabrication

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 41
CMOS Fabrication

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 42
Introduction to Digital Backend Design (PnR)
• What is Digital Implementation and PnR Engineer role?
• How to implement digital designs from RTL to GDSII?
• How very large designs with millions of transistors can be implemented ?

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 43
How to Design a Billion Transistor Chip
• Design Complexity is increasing
• Need for fast time to market
• Reasonable Engineering effort
• Answer:
1. Abstraction
 Hiding details until they become necessary
2. Structured design
 Hierarchy: Blocks, sub-blocks
 Regularity/Modularity : Block reuse (ex: standard cells)
3. Automation using CAD tools

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 44
Design Abstraction
Hardware
Example of Modeling Description
Level Modeling Object
Object Language
Used
C/C++
System System
(Electronic System Structural Circuit
Verilog
Level – ESL)
System C

Functional Verilog
Circuits on the VHDL
Register-Transfer level of multibit
Level (RTL) devices – registers,
and data transfer
between them
Circuit containing
Gate Level logic gates (AND,
(Gate level netlist, OR, etc.) and flip
Logic circuit) flops.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 45
Design Abstraction
Hardware
Example of Modeling Description
Level Modeling Object
Object Language
Used

Circuit Level
Electrical Circuit SPICE, CDL
(Transistor Level,
SPICE Netlist)

Device Level IC Components

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 46
Digital Backend Design
• It’s the transformation of a digital circuit design (RTL in Verilog or VHDL) into a physical representation
(layout) for manufacturing (GDS/Oasis).
• Mainly relying on:
1. Standard cells libraries.
2. Automation in all analysis and verification steps with APR tools.
3. Accurate characterization of all sub-blocks used. [libraries and macros]

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 47
CAD/EDA tools
• Digital design
1. Design entry (e.g., HDL) and simulation
2. Automated synthesis (from HDL to gates)
3. Automated place and route (from gates to transistor layout)
4. Verification (LVS/DRC/Timing analysis/EMIR analysis)

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 48
Design Verification
Static Timing Analysis:
 Checks timing against constraints
1. setup/hold/recovery/removal
2. max transition / max capacitance..
Physical transistor-level verification
 Layout vs. schematic (LVS)
 Design rule check (DRC)
EMIR/power Verifications:
 Electromigration and IR drop analysis
Formal (static) verification:
 Checks logic equivalence between different abstraction levels

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 49
Digital Implementation / PnR Engineer Role
Digital Implementation is the last step in the VLSI design flow
 Basically, transform RTL to GDSII and verify your design
 Any mistake in the flow ripples to the DI team!
 Interface with other design teams ex: Digital design-verification /analog layout / other DI teams / Fab
house / Cad team / Packaging team / lab team / ..”
Automation has limitations!
 EDA (Electronic Design Automation) tools are limited, and the design problem is very hard!
 Tradeoffs to consider: (mainly PPA: Power Performance Area):
 Ex: Reduce congestion by placing cells apart VS Improve timing by placing cells closer.
 More performance always require more power and area.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 50
Digital ASIC Design Flow Responsibilities:
Frontend VS Backend
• Choosing microarchitecture, suitable algorithms to use,
number of pipeline stages…etc according to the system
specifications.
• Developing synthesizable RTL and constraints.
Digital Frontend team • Developing suitable DC scripts for synthesis and DFT.
• Specifying power domains and generating UPF maps.
• Performing verification for the RTL.
• Performing gatelevel simulations to check backend
deliverables.
• Meeting timing requirements of setup and hold according
to SC library specifications.
• Meeting special timing requirements asked by the digital
team (ex. Skew balancing).
• Matching digital team intended design: gatelevel netlist
matching RTL,
Digital Backend team • Meeting physical design rules specified by the foundry
(DRC, LVS, Antenna, DFM)
• Minimizing IR drop over the design so that it is below a
defined threshold (~2%)
• Minimizing power consumption so that it’s comparable to
a similar node or similar design.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 51
Overall Design Flow

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 52
Standard Cell Library
• A good application of design abstraction.
• A standard cell is a group of transistor and
interconnect structures that provides a Boolean logic
function (e.g., AND, OR inverters) or a storage
function (flipflop or latch).
• Standard cell library is a collection of well defined
and pre-characterized logic cells with multi-
drive strength and multi-threshold voltage
cells in the form of a predefined standard cell layout.
It also contains several physical only cells and a set of
library files required by Place and Route (PnR) tool
for automatic placement and routing (APR).

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 53
Standard Cell Library
Cell categories:
1. All basic and universal gates (AND, OR, NOT,
NAND, NOR, XOR etc.)
2.Complex gates (MUX, HA, FA, Comparators, AOI,
OAI etc.)
3.Clock tree cells (Clock buffers, clock inverters, ICG
cells etc.)
4.Flip flops and latches
5.Delay cells
6.Physical only cells
7.Scannable Flip flops, Latches
 Cell Files
1. LIB files (.lib)
2.LEF files (.lef)
3.Netlist file (.v )
4.GDS file (.gds)
5.SPICE Netlist (.sp)
6.Model file (.m)

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 54
Standard Cell Library: Typical views
Behavioral views
• Gatelevel netlist(.v): used for simulation a
logic equivalence.
• Timing/Power views (.lib): contains
characterization of library used for STA and
EMIR
analysis. Also input to logic synthesis and PnR
tools for optimization.
Physical views
• .lef: abstract format for modeling of cells in PnR
tools.
• .gds: graphical representation of the layout
going to be fabricated, used for DRC and LVS.
• .sp: spice netlist contains transistor level
representation of cells, used for LVS.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 55
Standard Cell Layout
• All the Standard cells are in equal in height and
varying width.
• At the top of the standard cell, there is VDD rail and
bottom there is a VSS rail.
• Both the Power rails are drawn in the Metal-1 layer
• Nwell region, near to the VDD rail where PMOS
transistors are built.
• A gap of Nwell and Pwell dedicated usually for
wiring.
• Pwell region near the VSS rail where nMOS
transistors are built
• There may be double height cells , triple height cell,
etc. Similarly, the rows also have heights accordingly.
The standard cells will fit in the row with proper
orientation.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 56
Cell variants: Drive strengths
• Each logic cell (NAND, NOR, INV…) is implemented
in the SC library in:
1. Multiple sizes (x1, x2, x4, x8..etc).
2.Multiple flavors (LVT, SVT, HVT).
• Each cell will have various drive strengths for
effective speed VS area optimization.
• Larger output stage leads to better driving of
fanouts, better delay/performance at the cost of
increased area and leakage power.
• Smaller drive strength less area, leakage and
input cap.
 The higher the width; the more current going
through the channel.
 Each dive strength will have different footprints, so
if we need to swap the 1x by 2x or vise versa then we
need to return to the placement stage.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 57
Cell variants: MT-CMOS
• One additional mask can provide more or less doping in
a transistor channel, shifting the threshold voltage.
• Most libraries provide equivalent cells with three VTs:
SVT,HVT, LVT to tradeoff speed vs. leakage.
• All threshold varieties have same footprint and therefore
can be
swapped without any placement/routing
iterations.[Footprint: pins and obstructions] footprint is a summary of (cell width/heigth) plus (cell pin
• The HVT/LVT cells are (usually) provided within the location and geometry) plus (cell metal polygons geometry).
same footprint as their SVT counterparts, and so you
can swap them without causing any DRC violations. In If two cells have the same width/heigth, the same pin
other words, they have the same LEF abstract as the SVT geometry and all other metal polygons are the same, it means
cells. these two cells have equivalent footprint.
• Larger/Smaller cells have a different footprint/different
LEF. So they may be larger and therefore overlap with It is needed, when you do want to replace one cell for
an adjacent cell and many have their pins and blockages another (timing optimization or other reason), but do not want
in different locations and therefore cause shorts/opens to touch existing placement and/or existing routing.
or other DRCs. - Therefore, when swapping for a
larger/smaller cell you must:
It is obsolete (still supported) feature. Usually, the tools
derive such info from LEF or Milkyway (not from .lib).

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 58
Standard Cell List Example
Type&Drive
No Cell name Cell Description
Strength
Inverters. Buffers
0.5, 0.75, 1, 10,
1. SAED14_INV_* Inverter 12, 16, 1.5, 2, 20,
3, 4, 6, 8
2. SAED14_INV_ECO_* Inverter 1, 2, 3, 4, 6, 8
3. SAED14_DEL_R2V3_* Delay buffer 1, 2
4. SAED14_DEL_L4D100_* Delay buffer 1, 2
5. SAED14_CLKSPLT_* Clock Splitter 1, 8

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 59
Standard Cell List Example 2
Type&Drive
No Cell name Cell Description
Strength
Logic Gates
2-Input AND (A
inverted input),
7. SAED14_AN2B_MM_* 1, 12, 16, 2, 20, 4, 6, 8
symmetric
rise/fall
8. SAED14_AN2_* 2-Input AND 0.5, 0.75, 1, 2, 4, 8
9. SAED14_AN2_ECO_* 2-Input AND 2
2-Input AND, 0.5, 1, 12, 16, 2, 20, 3,
10. SAED14_AN2_MM_*
symmetric rise/fall 4, 6, 8
11. SAED14_AN3_* 3-Input AND 0.5, 0.75, 1, 2, 4, 8
12. SAED14_AN3_ECO_* 3-Input AND 1
13. SAED14_AN4_* 4-Input AND 0.5, 0.75, 1, 2, 4, 8
14. SAED14_AN4_ECO_* 4-Input AND 2

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 60
Standard Cell List Example 3
Cell
No Cell name Type&Drive Strength
Description
Complex Logic Gates

2-Input NOR (A inverted


15. SAED14_NR2B_* 0.75, 1, 1.5, 2, 4
input)
2-Input NOR (A inverted
16. SAED14_NR2B_U_* 0.5
input)
0.5, 1, 16, 1.5, 2, 3, 4, 5, 6,
17. SAED14_NR2_* 2-Input NOR
8
18. SAED14_NR2_ECO_* 2-Input NOR 1, 2
Symmetric rise/fall time 2- 0.5, 1, 10, 12, 16, 2, 3, 4, 6,
19. SAED14_NR2_MM_*
input NOR 8
3-Input NOR (A inverted
20. SAED14_NR3B_* 0.75, 1, 1.5, 2, 4
input)
3-Input NOR (A inverted
21. SAED14_NR3B_U_* 0.5
input)
22. SAED14_NR3_* 3-Input NOR 0.5, 0.75, 1, 2, 3, 4, 8
2-Input NOR (A
23. SAED14_NR2B_* 0.75, 1, 1.5, 2, 4
inverted input)

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 61
Standard Cell : Exercise 1
 What is the preferred cells to be used for the following applications: [size and flavor]
• Heart peacemaker.
• Datacenter processor.
• Battery powered IoT device.
 Compare the following cells in terms of: speed, area, and leakage power.
• svt_x2_buf, svt_x8_buf, svt_x16_buf
• svt_x2_buf, lvt_x2_buf [lvt: low V-threshold cell]
 Compare between using a complex “AOI” cell, and implementing same logic using equivalent
NAND2 cells, w.r.t overall delay and performance.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 62
Standard cell library Characterization- LIB
• It’s a readable ASCII format that characterizes the standard cell library cells in terms of timing,
area, power and other parameters.
• The cell is characterized using spice simulation, timing and power results are obtained under a
variety of conditions, and the data is represented in the .lib format.
• Lib file is basically a timing model file which contains cell delay, cell transition time, setup and
hold time requirement of the cell. So, Lib file basically contains the timing and electrical
characteristics of a cell or macros.

slew
Input Slew 0.7
slew
0.7 0.5 Process: Fast
slew Temp: 125o
0.7 0.5 Voltage: 1.32v
0.2
Iout 0.5 0.2 Process: Slow
0.1
Temp: -40o
0.2 0.1 .023 .047 .065 .078 .091 Voltage: 1.08v
Cchar output cap
0.1 .023 .047 .065 .078 .091 Process: Typical
Temp:
output cap 25o
.023 .047 .065 .078 .091 Voltage: 1.2v
output cap

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 63
LIB/db
• The information inside the Lib file can be divided
into two main parts, in the first part, it contains some
information which is common for all the standard
cells.
• The common part of Lib file contains
I. Library name and technology name
II. Units (of time, power, voltage, current, resistance
and capacitance)
III. Value of operating condition ( process, voltage
and temperature) – Max, Min and Typical.
Based on operating conditions there are three
different lib files for Max, Min and Typical corners.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 64
LIB/db
• In the second part of Lib file, it contains cell-specific
information for each cell.
• Cell-specific information in Lib file is mainly
I. Cell name
II. PG Pin name
III.Area of cell
IV.Leakage power in respect of input pins logic state
V. Pins details
1. Pin name
2. Pin direction
3. Internal power
4. Capacitance
5. Raise capacitance
6. Fall Capacitance
7. Fanout load

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 65
Liberty file (.lib or .db file)

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 66
Liberty file (.lib or .db file)
• Why to use .lib?
To know if the design meets timing or not:
o Running SPICE will consume a lot of time and
computing resources.
o Instead, we use a timing model that abstracts cell
behavior and simplify calculations.

For every timing arc, the .lib enables us to calculate:


A. Propagation delay
B. Output transition
Based on:
A. Input transition
B. Output load capacitance
For each signoff corner, we use the provided .lib file for
this corner to perform timing analysis (STA) and Power
analysis as well.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 67
Parasitic Estimation: WLM
• Parasitics are inevitable.
• Parasitics are not known without layout.
• Delay and Area will be incorrect/optimistic without
estimation of Parasitics.
• To calculate output load capacitance of a cell; we need to
calculate both:
1. Input capacitance of the load(s).
2. Wire delay of the interconnect.

During logic synthesis; we don’t have actual placed cells


• We use WLM to estimate interconnect Parasitics, based on
the fanout of the net.

R = length ∙ Runit length


C = length ∙ Cunit length

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 68
Path Delay Calculation

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 69
Exercise
• For critical timing paths, which cells should be used by logic synthesis tools?
(size/flavor/complex or simple cells) Critical Timing Path:

• For calculating the propagation delay of a specific cell, how can DC/ICC calculate its input
transition and load capacitance?

• If the input transition is outside cell’s delay characterization LUT; how will STA engine
calculate propagation delay?

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 70
DC Parameters and Measurement
Conditions of Digital Cells
N Parameter Unit Symbol Figure Definition
1. Voltage VTC VOUT
DC functional
Transfer V DD
dependence
Characteristic - between input
0
VDD
V IN and output
voltages.
2. Output high level V VOHN=VDD Output high
voltage (nominal) VOUT
VDD V OHN =VDD
voltage at
nominal
0 VIN
condition,
usually equals to
VDD

VDD
3. Output low level V VOLN=0 Output low
VOUT
VDD

voltage (nominal) (VOLN=VSS) voltage at


V OLN= 0
0 V DD
V IN nominal
condition,
usually VOLN=0
4. Switching point V VSP VOUT
V DD Point on VTC
voltage where
slope= 1
V SP swp

0 V SP V IN VOUT =VIN
V DD

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 71
DC Parameters and Measurement
Conditions of Digital Cells
N Parameter Unit Symbol Figure Definition

5. Output high level V VOHMIN VOUT


V DD slope=-1
Highest output
V OHMIN
minimum voltage voltage at
V IN
slope= -1.
0 VDD

6. Output low level V VOLMAX VOUT


V DD Lowest output
maximum voltage voltage at
V OLMAX slope= -1 slope= -1
0 VDD

V OUT
7. Input minimum high V VIHMIN V DD Highest input
voltage voltage at slope
V OMAX slope=- 1
= -1
0 VIHMINVDD V IN
VOUT
8. V VILMAX VDD
V OHMIN
slope= -1
Lowest input
Input maximum low voltage at
voltage slope = -1
0 V IN
V ILMAX V DD

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 72
DC Parameters and Measurement
Conditions of Digital Cells
N Parameter Unit Symbol Figure Definition

9. V DD The maximum input noise


V OHMIN
voltage which does not change
High state noise
NMH
V IHMIN
V NMH= VOHMIN-VIHMIN Undefined the output state when its value
Margin Voltage
VILMAX
is subtracted from the input
high level voltage
10. The maximum input noise
Low state noise margin Voltage VIHMIN voltage which does not change
V NML=VILMAX-VOLMAX Undefined

NML
V ILMAX the output state when added to
V OLMAX
0 the input low level voltage
11. Static leakage current uA The current consumed when
consumption at output ILEAKH None the output is high
on high state
12. Leakage power pW PLEAKL=VDD x ILEAK None The power consumed when
consumption the output is high
(dissipation) at output
13. Leakage power pW PLEAKL=VDD x ILEAKH None The power consumed when
consumption the output is high
(dissipation) at output
on high state

14. Leakage power pW PLEAKL=VDD x None The power consumed when


consumption ILEAKL the output is low
(dissipation) at output
on high state

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 73
AC Parameters and Measurement
Conditions of Digital Cells
N Parameter Unit Symbol Figure Definition

1. Rise transition time ns tR V DD The time it takes a driving


0.9VDD
pin to make a transition
from kVDD to (1-k)VDD value.
0.1VDD Usually k=0.1 (also possible
V SS tR k=0.2, 0.3, etc)
2. Fall transition time ns tF VDD The time it takes a driving
0.9VDD
pin to make a transition
from (1-k)VDD to kVDD value.
0.1VDD Usually k=0.1 (also possible
tF VSS k=0.2, 0.3, etc)

3. Propagation delay ns tPLH


IN
Time difference between the
low-to-high (tPR) 0.5VDD
OUT
input signal crossing a
(Rise propagation) 0.5VDD and the output signal
0.5VDD crossing its 0.5VDD when the
tPLH output signal is changing
from low to high

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 74
AC Parameters and Measurement
Conditions of Digital Cells

N Parameter Unit Symbol Figure Definition

4. Propagation delay ns tPHL IN Time difference between the input signal


high-to-low (tPF) OUT
0.5VDD crossing a 0.5VDD and the output signal
(Fall 0.5VDD
crossing its 0.5VDD when the output signal is
propagation) tPHL changing from high to low
5. Average supply uA The power supply current average value for a
current T
IV AVG   IV ( t )dt
period (T)
DD 0 DD

6. Supply peak uA IVDDPEAK= The peak value of power supply current


current =max(IVDD(t)) within one period (T)
t[0;T]
7. Dynamic power pW PDISDYN= The average power consumed from the
dissipation =IVDDAVG x VDD power supply

8. Power-delay nJ PD=PDISDYN x The product of consumed power and the


product x max largest propagation delay
(tPHL,tPLH)

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 75
AC Parameters and Measurement
Conditions of Digital Cells

N Parameter Un Symbol Figure Definition


it
9. Energy-delay nJs ED=PD x The product of PD and the largest
product x max(tPHL,tPLH) propagation delay

10. Switching fall power nJ PSWF = The energy dissipated on a fall transition.
=(CLOAD+COUTF) x (COUTF is the output fall capacitance)
x VDD2/2
11. Minimum clock ns tPWH (tPWL) The time interval during which the clock
pulse (only for flip- signal is high or low, so that it ensures
flops or latches) proper operation of a flip-flop or a latch
DATA
12. Setup time ns tPWH (tPWL) The time interval during which the clock
(only for flip-flops or CLOCK signal is high or low, so that it ensures
latches) OUT t PWH proper operation of a flip-flop or a latch

13. Setup time ns tSU DATA


0.5VDD The minimum period in which the input
(only for flip-flops or t SU
data to a flip-flop or a latch must be stable
latches) CLOCK
0.5VDD
before the active edge of the clock occurs

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 76
AC Parameters and Measurement
Conditions of Digital Cells

N Parameter Unit Symbol Figure Definition

14. Hold time ns tH DATA


The minimum period in which the
(only for flip- 0.5VDD
input data to a flip-flop or a latch
flops or latches) must remain stable after the active
0.5VDD
CLOCK tH edge of the clock has occurred

15. Clock-to-output ns tCLKQ The amount of time that takes the


time DATA output signal to change after clock’s
(only for flip- CLOCK
0.5VDD
active edge is applied
flops or latches) OUT
0.5VDD
tCLKQ

16. Removal time ns tREM The minimum time in which the


0.5VDD
(only for flip- SET (RESET) asynchronous Set or Reset pin to a
flops or latches 0.5VDD flip-flop or latch must remain
with CLOCK
tREM enabled after the active edge of the
asynchronous clock has occurred
Set or Reset).

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 77
AC Parameters and Measurement
Conditions of Digital Cells
N Parameter Un Symb Figure Definition
it ol
17. Recovery time ns tREC The minimum time in which Set or Reset
(only for flip-flops and must be held stable after being deasserted
latches with before next active edge of the clock occurs
asynchronous Set or
Reset)
18. From high to Z-state ns tHZ 0.5V DD
SET (RESET) The amount of time that takes the output
entry time, (only for CLOCK
0.5VDD to change from high to Z-state after
tri-state output cells) t REC control signal is applied
19. From low to Z-state ns tLZ The amount of time that takes the output
entry time, (only for to change from low to Z-state after control
tri-state output cells) signal is applied
20. From Z to high-state ns tZH The amount of time that takes the output
exit time to change from Z to high-state after
(only for tri-state control signal is applied
output cells)
21. From Z to low-state ns tZL The amount of time that takes the output
exit time to change from Z to low-state after control
(only for tri-state signal is applied
output cells)
22. Input pin capacitance pF CIN Defines the load of an output pin
23. Maximum pF CMAX Defines the maximum total capacitive load
capacitance that an output pin can drive

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 78
LEF: Library exchange format (.lef file)
• LEF is a short form of Library Exchange Format. LEF file is written in ASCII format, so this file is a human-
readable file.
• The LEF file abstracts the following information to PnR tools:
1. Cell size and shape.
2. Pin locations and layer.
3. Metal blockages (OBS section), that represent internal metal shapes of the cell not to be touched by
routing.
• A LEF file describing the Library has mainly two parts.
1. Technology LEF
2. Cell LEF

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 79
Technology LEF
• Technology LEF part contains the information regarding
all the metal interconnects, via information and related
design rules whereas cell LEF part contains information
related to the geometry of each cell.
• Technology LEF part contains the following
information:
1. LEF Version ( like 5.7 or 5.8 )
2. Units (for database, time, resistance, capacitance)
3. Manufacturing grids
4. Design rules and other details of BEOL (Back End Of
Layers)
a. Layer name (like poly, contact, via1, metal1 etc.)
b. Layer type ( like routing, master slice, cut etc.)
c. Preferred direction (like horizontal or vertical)
d. Pitch
e. Minimum width
f. Spacing
g. Sheet resistance

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 80
Cell LEF:
• Cell LEF part contains the information related to each
cell present in the standard cell library in separate VDD
sections. Dimension
A B “bounding
• Cell LEF basically contains the following information box”
Blockage
Pins
1. Cell name (like AND2X2, CLKBUF1 etc.) (direction,
2. Class ( like CORE or PAD) Symmetry Y layer and
(X, Y, or 90º)
F
shape)
3. Origin 0 0 GND
NAND_1

reference point
4. Size (width x height) (typically 0,0) Abstract View
5. Symmetry ( like XY, X, Y etc.)
6. Pin Information unit tile (site)
i. Pin name (like A, B, Y etc.)
ii. Direction (like input, output, inout etc. ) BUF FF
iii. Use (like Signal, clock, power etc.)
iv. Shape (Abutment in case of power pin) NOR
v. Layer (like Metal1, Metal2 etc. )
vi. The rectangular coordinate of pin (llx lly urx ury) INV

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 81
LEF

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 82
Spice and GDS
• SPICE netlist is the netlist of cell in SPICE
format is used for simulation.
• Typically used in digital implementation
for LVS checking

• GDSII file is a binary file format representing


planar geometric shapes, text labels, and other
information about the layout in hierarchical form.
• A better alternative widely used now:
Oasis format.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 83
Overall Design Flow
• The operating conditions of a design include the following parameters:
− Process
− Voltage
− Temperature
• The chip is intended to operate under this parameters.
• Process variation
 This variation accounts for deviations in the semiconductor fabrication process. Usually process variation is treated as a
percentage variation in the performance calculation.
• Supply voltage variation
 The design’s supply voltage can vary from the established ideal value during day-to-day operation. Often a complex
calculation (using a shift in threshold voltages) is employed, but a simple linear scaling factor is also used for logic- level
performance calculations.
• Operating temperature variation
 Temperature variation is unavoidable in the everyday operation of a design. Effects on performance caused by temperature
fluctuations are most often handled as linear scaling effects, but some submicron silicon processes require nonlinear
calculations.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 84
The Multiple Analysis Corners
Process
Corner
(NMOS proc. – Temperature (T) Power Supply (V) Notes
Name
PMOS proc.)
TTNT1p20v Typical - Typical 25 1.2 Typical corner

SSHT1p08v Slow - Slow 125 1.08 Slow corner MC => Opt(P,V,T)


FFLT1p32v Fast - Fast -40 1.32 Fast corner P
High leakage
FFHT1p32v Fast - Fast 125 1.32
corner
SSLT1p08v Slow - Slow -40 1.08 Low temperature

• A corner is defined as a PVT, and it is provided to the analysis and V


optimization tool as logic libraries per PVT and Parasitics data.
• Corners are not due to functional settings, but rather result from process
variations during manufacturing, and voltage and temperature variations in T
the environment in which the chip will operate.
• Each standard cell library is characterized for a set of signoff corners, Best, Worst
according to the required signoff corner for the design(s) that will use the Cap (Best, Worst)
library later.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 85
The Multiple Analysis Corners- Process:
• Process variation is the deviation in attributes of transistor during the fabrication.
• During manufacturing a die, the area at the centre and that at the boundary will have different process variation. This
happens because layers which will be getting fabricated can not be uniform all over the die. As we go away from the
center of the die, layers can differ in their sizes.
• Process variation is gradual . It can not be abrupt.
• Process variation is different for different technologies but is more dominant in lower node technologies (<65nm).
• Below are few important factors which can cause process variation;
1. Wavelength of the UV light
2.Manufacturing defects
• The affects of process variation are listed below;
1. Oxide thickness variation
2.Dopant and mobility fluctuation
3.Transistor width, length etc.
4.RC Variation

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 86
The Multiple Analysis Corners- Voltage:
• Now a days, supply voltage for a chip is very less. Lets say chip is operating
at 1V. So there are chances that at certain instance of time this voltage may
vary. It can go to 1.1V or 0.9V. To take care of this scenerio, we consider
voltage variation.
• There are multiple reasons for voltage variation. These are discussed below.
• The important reason for supply voltage fluctuations is IR drop. IR drop is
caused by the current flow over the parasitic resistance of the power grid. IR
drop reduces the supply voltage from the required value.
• The second important reason for voltage variation is supply noise caused by
parasitic inductance in combination with resistance and capacitance. The
current through parasitic inductance causes the voltage bounce. Both these
effects together can not only lead to voltage drops but also voltage
overshoot.
• Supply voltage that any chip works on is given externally. It can come from
DC source or some voltage regulator. Voltage regulator will not give same
voltage over a period of time. It can go above or below the expected voltage
and hence it will cause current to change making the circuit slower or faster
than earlier.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 87
Multiple Analysis Corners - Temperature
• The temperature variation is with respect to junction and not ambient
temperature. The temperature at the junction inside the chip can vary
within a big range and that’s why temperature variation need to be
considered. Figure 3 shows the variation of delay with respect to
temperature. Delay of a cell increases with increase in temperature. But
this is not true for all technology nodes. For deep sub-micron
technologies this behavior is contrary. This phenomenon is called as
temperature inversion.

• Temperature inversion: The delay depends on the output


capacitance and ID current (directly proportional to Cout and inversely
proportional to ID). When the temperature increases, delay also
increases (due to the variation in carrier concentration and mobility).
But when temperature decreases, delay variation shows different
characteristics for submicron technologies. For technology nodes below
65nm, the delay will increase with decrease in temperature, and it will
be maximum at -40°C. This phenomena is known as “temperature
inversion”.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 88
RC Variation:
• RC variation is also considered as corners for the setup and hold checks.
RC variation can happen because of fabrication process and the width of
metal layer can vary from the desired one.
• We always check our chip to work in worst scenarios. We should be very
pessimistic about setup and hold checks. So, consider worst case scenarios.
• Setup violation can be caused if data is coming very slow. So, the condition
when process is slow, voltage is minimum, and temperature is maximum is
the worst case for setup check. Also because of temperature inversion at
lower technology node, delay will increase as temperature decrease. Hence
lowest temperature results in more delay. It is not compulsory that the
delay at lowest temperature is always less than delay at highest
temperature.
• Hold violation is caused if data comes faster. So, process should be faster,
voltage should be maximum, and temperature should be minimum.
• Now if setup and hold are checked in worst corners, then the chip should
work in every scenario. Still, we check them in typical corners because we
need to analyze power consumption. Refer following table for the worst-
case scenarios for setup and hold.

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 89
Example
• For Automotive chips; which corners do you expect to have more than usual to ensure chip will reliably operate
in car’s harsh environment?
• What do you expect in the following scenarios for your design’s area and power:
1. If you have extremely slow corners in your required signoff corners.
2. If you have extremely fast corners in your required signoff corners
• If you are a product marketing manager for an MCU chip vendor specialized in automotive MCUs (ex.
Renesas), how would you choose your signoff corners?

• If you are a project manager for an IP vendor (ex. ARM), and a customer is asking for signoff corners 1.5x
slower what is usual, what is the impact on the IP? [area, power, timing, development time, how much you
charge the customer]

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 90
Further Reading
• CMOS VLSI Design: A Circuits and Systems Perspective 4th Edition by Neil Weste , David Harris.
• Synopsys slides
• Cadence slides
• CMPE 641: Topics in VLSI
• Logic Synthesis - Part I (Standard Cell Libraries) by Adam Teman.
• Team VLSI
• …a number of years of experience!

Discover
NTI the world
- National at Leiden University
Telecommunication Institute 91
Thank You 

Discover
NTI theDiscover
- Nationalworld at the world
Leiden University
Telecommunicationat Leiden University
Institute 92

You might also like